immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Similar documents
HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

From ArF Immersion to EUV Lithography

Process Optimization

Update on 193nm immersion exposure tool

Synthesis of projection lithography for low k1 via interferometry

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

i- Line Photoresist Development: Replacement Evaluation of OiR

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Photolithography II ( Part 2 )

Holistic View of Lithography for Double Patterning. Skip Miller ASML

OPC Rectification of Random Space Patterns in 193nm Lithography

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Reducing Proximity Effects in Optical Lithography

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

MICROCHIP MANUFACTURING by S. Wolf

Key Photolithographic Outputs

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Major Fabrication Steps in MOS Process Flow

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

Photolithography Technology and Application

Contrast Enhancement Materials CEM 365HR

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

What s So Hard About Lithography?

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

0.7 NA DUV STEP & SCAN SYSTEM FOR 150nm IMAGING WITH IMPROVED OVERLAY

Optical Microlithography XXVIII

Optolith 2D Lithography Simulator

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Immersion Lithography Defectivity Analysis at DUV Inspection Wavelength

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

16nm with 193nm Immersion Lithography and Double Exposure

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY

Contrast Enhancement Materials CEM 365iS

Photolithography I ( Part 1 )

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

EUVL getting ready for volume introduction

DOE Project: Resist Characterization

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Immersion Lithography Micro-Objectives

Negative tone development process for double patterning

Business Unit Electronic Materials

Optical Maskless Lithography - OML

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

OPC Scatterbars or Assist Features

Advanced Mix & Match Using a High NA i-line Scanner

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Advanced Patterning Techniques for 22nm HP and beyond

Characterization of a Thick Copper Pillar Bump Process

Imaging for the next decade

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Pupil wavefront manipulation for optical nanolithography

Microlens formation using heavily dyed photoresist in a single step

In-line focus monitoring and fast determination of best focus using scatterometry

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Optimizing FinFET Structures with Design-based Metrology

DIY fabrication of microstructures by projection photolithography

Optical Proximity Effects

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Amphibian XIS: An Immersion Lithography Microstepper Platform

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

State-of-the-art device fabrication techniques

Registration performance on EUV masks using high-resolution registration metrology

Application-Based Opportunities for Reused Fab Lines

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

2009 International Workshop on EUV Lithography

Design Rules for Silicon Photonics Prototyping

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Improving registration metrology by correlation methods based on alias-free image simulation

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

EE-527: MicroFabrication

optical and photoresist effects

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Fabrication Methodology of microlenses for stereoscopic imagers using standard CMOS process. R. P. Rocha, J. P. Carmo, and J. H.

Competitive in Mainstream Products

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

1. INTRODUCTION ABSTRACT

Transcription:

immersion optics Immersion Lithography with ASML HydroLith by Bob Streefkerk For more than 25 years, many in the semiconductor industry have predicted the end of optical lithography. Recent developments, however, indicate that optical lithography is more alive than ever. Immersion lithography has emerged as the potential technology for extending optical lithography. As discussed in the Fall 23 edition of Images, immersion lithography offers two key benefits. First, it enables a significantly larger Depth Of Focus (DOF) using existing sub-1.-na lenses. Second, immersion lithography enables the use of lenses that have Numerical Apertures (NAs) larger than 1.. Immersion, in principle, is not a new technique. Its viability for microlithography, however, has become a practical consideration because of advances made in lens manufacturing technology, especially aspherical surface figuring. For 193-nm lithography, water proves to be a suitable immersion fluid. The refractive index for water is 1.43, which makes lens NAs above 1.2 feasible. Immersion offers the potential to extend conventional optical lithography to the 45-nm node and potentially to the 32-nm node. Figure 1 Height Process Dependency (HPD) of an optical sensor operating in a water and air environment measuring different substrate compositions. 1 2 3 4 5 6 7 8 Resist Thickness [nm] Water Air Metal Density Variations In H 2 Oxide Thickness Variations 1% Si % Metal 4% Si 6% Metal 2% Si % Metal 4% Si 6% Metal 1-1 -2-3 -4-5 -6 HPD [nm] Figure 2 Schematic drawing of the TWINSCAN system modifications for immersion lithography. Layout Use Existing Level Sensor In Air Use Existing Alignment Sensor In Air Integrate Liquid Supply System Temperature Degassing Purification Liquid Containment Integrate - Chuck Swap Solution TWINSCAN System Modifications for Immersion Lithography ASML s journey toward immersion began with a modified TWINSCAN AT:115 system. The AT:115 combines the proven power and high productivity of the TWINSCAN dual-stage system with the fluid handling and containment solutions that are specific to immersion lithography. Additionally, we modified the.75-na Starlith 115 projection lens by changing the last lens element and adjusting the space between the other lens elements. We based the fluid containment solution on the shower configuration. The dual-stage design of the TWINSCAN platform enables the use of proven off-axis leveling and alignment metrology in dry conditions. If we could not measure in dry conditions, the water on the resistcoated wafer would make the focus measurement with optical focus sensors more sensitive to resist thickness variation (Figure 1). With the dual-stage design, however, we can limit the use of the immersion fluid to the expose area only. Figure 2 shows a schematic overview of the TWINSCAN system modifications for immersion lithography. Table 1 gives the main specifications of the prototype TWINSCAN ArF immersion system. Modified Lens Wafer Stage Sealing and Flattening -image Sensor 8 ASML Images Summer 24

Table 1 Description Immersion Fluid Specification DI Water Lens NA.75.55 Illumination Sigma Range.33.88 Illumination Modes Conventional, Annular, QUASAR Field Size Scan Speed 26 mm x 33 mm 36 mm/s The Hydraulic Image systems use an image sensor to characterize the aerial image of, for instance, isolated lines. The prototype immersion system uses a modified version of such an image sensor to characterize the image in water, i.e., the hydraulic image. We present in Figure 3 the first measurement of a hydraulic image of a 25-nm isolated line, and we compare the result with similar measurements done on a dry TWINSCAN AT:115 system. The exposures settings are NA=.75 and σ=.85/.55. Step Speed Wafer Size Resolution Figure 3 9 mm/s 3 mm 9 nm The results demonstrate a strong enhancement of the DOF. The increase in DOF is the same factor of 1.6 as predicted by simulation. The symmetry of the hydraulic image further confirms that the lens aberrations are well under control and that the immersion fluid does not introduce coma or spherical aberration. (top) and hydraulic image (bottom) as seen by a transmission image sensor. Immersion Photoresist Processing WS Z position [m].8.4 -.4 -.8 AIX Within ASML, photoresist processing is performed on a stand-alone track (FSI POLARIS 35). We usually use a protective topcoat to prevent T-topping caused by airborne amine contamination. In dry systems, we use a water-soluble topcoat, but in a water immersion scanner we cannot use this type of topcoat. For immersion exposures, we use a non water-soluble topcoat. The photoresist is the same for both the dry and immersion processes. Table 2 (pg. 1) summarizes the process conditions that we used for all tests discussed in this section. WS Z position [m].8.4 -.4 -.4 -.2.2.4 WS X position [m] AIX Imaging Results for 9-nm Node Features With a maximum NA of.75, the resolution capability of the prototype TWINSCAN ArF immersion system can support 9-nm node features. We examined the imaging performance of lines and spaces and contact holes. Using phase shift mask technology, we also investigated the resolution limit. We compare the imaging data obtained on the prototype TWINSCAN ArF immersion system with the same system when it was dry (before modification for immersion lithography). -.8 -.4 -.2.2.4 WS X position [m] ASML Images Summer 24 9

(Continued) Table 2 Process Descriptions. Description Conditions Process Condition A B(B 1 ) C Structure Type Lines and Spaces Isolated Lines Contact Holes Photoresist TOK TArF p611 TOK TArF P568 TOK TArF P747 Thickness 225 nm 225 nm (175 nm) 3 nm BARC ARC 28 ARC 28 ARC 28 Topcoat AZ Aquatar -VI AZ Aquatar - VI AZ Aquatar - VI Topcoat TOK TSP - 3A TOK TSP - 3A TOK TSP - 3A Softbake Temperature 126 C 12 C 12 C Softbake Time 9 s 9 s 9 s PEB Temperature 13 C 13 C 11 C PEB Time 9 s 9 s 9 s Surfacinated Rinse OptiPattern Developer OPD-5262 OPD-5262 OPD-5262 Development Time 6 s 6 s 6 s Dense 1:1 Lines and Spaces Using process condition A, we evaluated 9-nm 1:1 lines and spaces at an NA=.75 and σ=.85/.55 annular illumination. Figures 4 and 6 show the results of the evaluation and comparison between 5-mm/s and 36-mm/s scanspeeds. The results demonstrate a large gain in DOF under immersion conditions. The DOF increases from approximately.6 µm to 1. µm. This factor of 1.67 is close to the theoretical value (1.6). The measured exposure latitude for the immersion exposures is identical to the measured exposure latitude of the dry exposures. This indicates that the contrast level with immersion is comparable to the contrast level in dry systems. Our comparison of high and low scan speeds reveals no significant differences between the two. Figure 4 and wet process windows for 9-nm 1:1 dense lines and spaces. Figure 5 and wet process windows for 9-nm isolated lines. 12 1 8 8 6 4 Exposure Latitude (%) 6 4 Exposure Latitude (%) 2 2..1.2.3.4.5.6.7.8.9 1. Defocus (m) Vertical Horizontal Vertical 5 mm/s Horizontal 5 mm/s Vertical 36 mm/s Horizontal 36 mm/s..1.2.3.4.5.6.7.8 Defocus (m) Vertical Vertical Horizontal Horizontal 1 ASML Images Summer 24

Figure 6 and wet cross-sections for 9-nm 1:1 dense lines and spaces. Focus [µm] -.5 -.4 -.3 -.2 -.1..1.2.3.4.5 Figure 7 and wet cross-sections for 9-nm isolated lines. Focus [µm] -.5 -.4 -.3 -.2 -.1..1.2.3.4.5 ASML Images Summer 24 11

(Continued) Isolated Lines without Assisting Features Using process condition B, we evaluated 9-nm isolated lines at NA=.67 and σ=.75/.45 using annular illumination. Figures 5 (pg. 1) and 7 (pg. 11) show the comparison between the results for the immersion and the dry situation. Immersion increases the DOF for the isolated lines considerably from.45 µm to.65 µm. The gain in DOF is approximately a factor of 1.44, which is close the theoretical value (1.55). Furthermore, we found that immersion does not change the exposure latitude. Technology Leadership Immersion lithography offers the potential to extend optical lithography. The dual-stage TWINSCAN platform enables dry metrology and wet exposure. Figure 8 and wet process windows for 115-nm 1:1 dense contact holes. 3 Dense Contact Holes Using process condition C, we evaluated 115-nm 1:1 dense contact holes at NA=.75 and σ=.85/.65 using 3 degrees QUASAR illumination conditions. Figures 8 and 9 show the measured process windows and the top-down SEM pictures over a focus range. The DOF for the dense contact holes increases from.8 µm to 1.4 µm, which is an increase factor of 1.75 (more than the theoretical 1.6)...2.4.6.8 1. 1.2 1.4 Defocus (m) 2 1 Exposure Latitude (%) Figure 9 Top-view SEM pictures of 115-nm 1:1 dense contact holes. Focus [µm] -.8 -.6 -.4 -.2..2.4.6.8 12 ASML Images Summer 24

Isolated Contact Holes Using process condition C and a six percent attenuated reticle, we evaluated 13-nm isolated contact holes at NA=.63 and σ=.4. Figure 1 shows the results. The DOF increases from.8 mm (dry) to 1.3 mm (wet) which is a factor of 1.62 increase, and beyond the theoretical value based on geometric reasoning (1.5 mm). Summary of Imaging Results In summarizing the results on imaging performance for the 9 nm node, we found that with immersion the prototype TWINSCAN ArF immersion system increases DOF by a factor 1.44 1.75, depending on the feature size and the exposure conditions. Although the theory predicts a large DOF gain as well, we were unable to obtain an exact match between theoretical and experimental results. In most cases, simulation gives a somewhat smaller gain in DOF. Only in the experiment for isolated lines is there a smaller DOF gain than predicted. Further study is required here. Conclusion ASML has made significant steps in 193-nm immersion lithography development. Our feasibility study on immersion lithography has identified design solutions for the exposure system. A shower-type configuration is preferred over a bath-type configuration because of its lessened impact on the Step & Scan system. At the 193-nm wavelength, water as immersion fluid does not limit the scan speed of the Step & Scan system significantly. Additionally, the (photo-) chemical effects on both the lens and the resist do not represent a major problem. More critical is the effect of the film of water on the optical performance of the system. Temperature control is important for focus stability and aberration stability, and it should be in the tens of mk range. The fluid supply system needs to ensure a bubblefree film. Degassing is required and pressure gradients should be low enough to avoid cavitation. Our resist screening work on the custom-built interference setup showed that existing commercial ArF resists are suitable for water immersion. ASML has built and tested the industry's first full-field Step & Scan immersion system. The dual-stage TWINSCAN system is extremely well suited for immersion because the alignment and leveling functions of the system are separate from the immersion exposure. Our prototype TWINSCAN ArF immersion system images features of 9 nm and smaller and delivers an increase in DOF of more than 1.6. The system can expose edge dies, and intrafield and full-wafer CD uniformity results demonstrate that immersion lithography can deliver the high levels of printing accuracy needed in volume production. The system overlay is within the 35 nm requirement; however, further improvement will be needed. The positive results on the immersion proto machine have resulted in a modification of the lithography roadmap. Immersion lithography will be introduced to enhance the focus margin for 65-nm systems. Subsequently, hyper NA lenses (NA > 1) can be developed to support the 45-nm node using ArF immersion lithography. Figure 1 Top-view SEM pictures of 13-nm isolated contact holes. Focus [µm] -.8 -.6 -.4 -.2..2.4.6.8 ASML Images Summer 24 13 15