VLSI Design Verification and Test Delay Faults II CMPE 646

Similar documents
EECS 427 Lecture 21: Design for Test (DFT) Reminders

Testing Digital Systems II

Design for Testability & Design for Debug

A Novel Low-Power Scan Design Technique Using Supply Gating

Exploring the Basics of AC Scan

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

Testing Digital Systems II. Problem: Fault Diagnosis

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

VLSI System Testing. Outline

EECS 579 Fall What is Testing?

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Project Presentations

The challenges of low power design Karen Yorav

INF3430 Clock and Synchronization

Chapter 1 Introduction to VLSI Testing

I DDQ Current Testing

Test Automation - Automatic Test Generation Technology and Its Applications

A GATING SCAN CELL ARCHITECTURE FOR TEST POWER REDUCTION IN VLSI CIRCUITS Ch.Pallavi 1, M.Niraja 2, N.Revathi 3 1,2,3

FAULT SIMULATION AND TEST GENERATION FOR SMALL DELAY FAULTS. A Dissertation WANGQI QIU

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

SIMMAT A Metastability Analysis Tool

Geared Oscillator Project Final Design Review. Nick Edwards Richard Wright

A Brief History of Timing

Timing Issues in FPGA Synchronous Circuit Design

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2

UNIT-II LOW POWER VLSI DESIGN APPROACHES

EC 1354-Principles of VLSI Design

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice

Application and Analysis of Output Prediction Logic to a 16-bit Carry Look Ahead Adder

Advanced Digital Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design

Introduction. Timing Verification

UNIT-III POWER ESTIMATION AND ANALYSIS

NanoFabrics: : Spatial Computing Using Molecular Electronics

Experimental Results for Slow Speed Testing. Experimental Results for Slow Speed Testing. Chao-Wen Tseng

ELEC Digital Logic Circuits Fall 2015 Delay and Power

Using IBIS Models for Timing Analysis

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions

Advanced Digital Design

Lecture 9: Clocking for High Performance Processors

Low Power Design Methods: Design Flows and Kits

VLSI testing Introduction

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

5. CMOS Gates: DC and Transient Behavior

Chapter 2 Combinational Circuits

TRUE SYNTHESIZABLE CRITICALPATH AND FALSE PATH FILTERING USING ATPG

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design

Timing Verification of Sequential Domino Circuits

ECE 2300 Digital Logic & Computer Organization

Yield, Reliability and Testing. Technical University of Lodz - Department of Microelectronics and Computer Science

LSI Design Flow Development for Advanced Technology

Challenges of in-circuit functional timing testing of System-on-a-Chip

Design for Test of Digital Systems TDDC33

Advanced Digital Design

Lecture 11: Clocking

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

DESIGNING powerful and versatile computing systems is

Lecture 10. Circuit Pitfalls

A Novel Test Path Selection Based on Switching Activity and Its BIST Implementation

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

Run-Length Based Huffman Coding

Datapath Testability Improvement through ad hoc Controller Modifications

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a

! Review: Sequential MOS Logic. " SR Latch. " D-Latch. ! Timing Hazards. ! Dynamic Logic. " Domino Logic. ! Charge Sharing Setup.

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques

Testing Digital Circuits for Timing Failures by Output Waveform Analysis. Piero Franc0

A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator

Module -18 Flip flops

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

Testing High-Performance Pipelined Circuits with Slow-Speed Testers

Chapter 8: Timing Closure

6.004 Computation Structures Spring 2009

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A Review of Clock Gating Techniques in Low Power Applications

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

Low-overhead solutions for clock generation and synchronization.

Chapter 3. H/w s/w interface. hardware software Vijaykumar ECE495K Lecture Notes: Chapter 3 1

Challenge for Analog Circuit Testing in Mixed-Signal SoC

Interconnect testing of FPGA

Evaluating the Digital Fault Coverage for a Mixed-Signal Built-In Self-Test. Michael Alexander Lusco

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

A DFT Technique for Testing High-Speed Circuits. with Arbitrarily Slow Testers

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Digital Controller Chip Set for Isolated DC Power Supplies

Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal. Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng.

Chapter 5 Sequential Logic Circuits Part II Hiroaki Kobayashi 7/11/2011

HAZARDS AND PULSE MODE SEQUENTIAL CIRCUITS

Microcircuit Electrical Issues

Testing scheme for IC's clocks. DEIS - University of Bologna. Viale Risorgimento, 2. treated as a side eect. In fact, it is easy to

Vector-based Peak Current Analysis during Wafer Test of Flip-chip Designs

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Interconnect/Via CONCORDIA VLSI DESIGN LAB

Datorstödd Elektronikkonstruktion

EE382V-ICS: System-on-a-Chip (SoC) Design

Transcription:

Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite of this however. C R N N 2 A A 2 O Z Modulo-3 counter P N 3 A 3 Q A 4 O 2 P 2 N 4 A 5 Q 2 FF2 FF (0/8/06)

Path Counting Directed acyclic graph (DAG) path graph for this circuit: source C R P P 2 N N 2 N 3 N 4 A 2 A 2 4 A 3 4 A 4 4 A 5 4 O 8 O 2 8 Z 2 Q 8 Q 2 8 8 sink Vertices represent the PIs, POs and gates, edges represent signal flow. Source and sink nodes are added to point to PIs and POs, algorithm visits each node, follows its edges and adds src value to destination nodes. Since the maximum indegree is O(N), worst case complexity is O(N 2 ). 2 (0/8/06)

Transition Fault Model Faults are modeled at the gate I/Os as slow-to-rise (STR) and slow-to-fall (STF) faults that elicit Stuck-At type fault behavior at the POs. For detection of a slow-to-rise fault, start with a SA0 fault on the line. This sets the line to and propagates the state of the line to a PO. Let this be vector V 2 then define V as a vector that sets the line to 0. Advantages include: Number of tests is upper bounded by twice the number of lines. Stuck-at ATPG algorithms can be easily modified to produce these tests. Transition fault tests can detect large (gross) delays. Tested paths may be short. They are not reliable at detecting delay defects that are distributed, unlike PDF. Transition fault tests are usually augmented by critical path delay tests. 3 (0/8/06)

The application of delay tests depends on the type of circuit and the DFT hardware used. Slow-clock combinational test Enhanced-scan test Normal-scan sequential test Variable-clock non-scan sequential test Rated-clock non-scan sequential test PIs Slow-clock combinational test input latches Input test clock Combo circuit Only applicable to this arch. output latches Output test clock POs Rated clk period TClk V V 2 output latched Note that V is applied at a slower rate and the circuit is allowed to stabilize. 4 (0/8/06)

Enhanced scan test Applicable to scan types of sequential circuits. Similar to the previous method, any arbitrary vector pair can be applied and test generation can treat the circuit as combinational. PIs Scan out Combinational circuit POs HL Hold HL Clk TC (Test Control) Scan in Each vector consists of two parts, bits for the PIs and bits for the state variables (s). State bits are scanned in by setting TC to 0 and applying Clk. 5 (0/8/06)

Enhanced scan test (cont.) The bits are often scanned in using a slow clock to reduce power consumption and the chance of errors occurring due to scan chain delays. The scanned V bits are transferred to the Hold Latches (HL) and the PI bits of V are applied. When V stabilizes, the state bits of V 2 are scanned in. Activation of the Hold signal and application of the V 2 bits to the PIs creates the V -> V 2 transition. With TC =, Clk is used to latch the outputs in normal mode. Clk V applied V scanin V settles V 2 scanin V 2 applied HOLD Result latched TC Test result scanout Normal Scan mode 6 (0/8/06)

Enhanced scan test (cont.) Scan test time similar to full scan design but scan area overhead is larger and Hold Latches increase delay in signal paths. Normal-scan sequential test It is still possible to test full scan circuits with no Hold Latches for delay faults. However, it requires special vector-pairs. PIs POs Scan out Combinational circuit Scan in Clk TC (Test Control) V scanin V 2 applied Slow Clk Generate V 2 Outputs latched Rated Clk Test result scanout Scan-shift delay test or Skewed-load delay test Slow Clk 7 (0/8/06)

Normal-scan sequential test Scan-shift delay test: Scan in of V is followed by one extra cycle of slow PIs clock with the circuit still in scan mode (TC = 0). The test is designed so that V 2 is obtained from V by a bit translation Scan out (PI bits of both vectors are unrestricted). As soon as V 2 is applied, mode is changed from scan to normal and Clk is controlled at the rated period to latch outputs. Combinational circuit POs Scan in Clk TC (Test Control) V 2 by scan shift V 2 functionally derived Scan-shift delay test Alternatively normal mode scan mode scan mode Broad-side delay test 8 (0/8/06)

Normal-scan sequential test For broad-side delay test, the state portion (FF values) of V 2 must be functionally generated by the combinational logic under V. Simultaneous application of V 2 at the PIs and into the FFs via Clk in normal mode generates the V -> V 2 transitions. The outputs are latched one rated clock period later. Correlations between V and V 2 may not allow high fault coverage for path-delay and transition fault tests. Variable-clock non-scan sequential test (see text) Rated-clock non-scan sequential test (see text) 9 (0/8/06)

Practical Considerations in Delay Testing Today, verification requires both function and timing analysis. Static timing analysis examines combinational paths without regard to sensitization (delays of gates and wires are looked up in a database). Results of timing analysis used to improve the design and test: Timing simulation: Identified critical paths are simulated and the design is "tweeked" to make sure it meets the timing specification. Critical path tests: Critical path delay determines the clock period, and therefore tests are usually included to test such paths. Layout optimization: Critical path data is used for std. cell/custom block placement, to establish priorities in routing and for transistor sizing. 0 (0/8/06)

Practical Considerations in Delay Testing Critical path tests are good at detecting "correlated defects", i.e., slow-downs due to global process variations, because the longest paths will fail first. Spot defects (or gross defects) affect only a small number of paths in the chip. Transition fault tests are capable of detecting these gross delay defects. Two forms of at-speed testing: External: The combination of critical path testing and transition fault testing provides adequate at-speed testing. Built-in self-test: Since the at-speed ATE is expensive, BIST is an alternative. On-chip hardware is needed for test generation and response analysis. The speed of BIST is controlled by the off-chip clock. (0/8/06)