EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

Similar documents
EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

Sub-Threshold Region Behavior of Long Channel MOSFET

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA

Session 10: Solid State Physics MOSFET

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

MTLE-6120: Advanced Electronic Properties of Materials. Semiconductor transistors for logic and memory. Reading: Kasap

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Lecture #29. Moore s Law

An Overview of Static Power Dissipation

LEAKAGE POWER REDUCTION TECHNIQUES FOR LOW POWER VLSI DESIGN: A REVIEW PAPER

4: Transistors Non idealities

UNIT-1 Fundamentals of Low Power VLSI Design

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences.

Week 9a OUTLINE. MOSFET I D vs. V GS characteristic Circuit models for the MOSFET. Reading. resistive switch model small-signal model

UNIT-II LOW POWER VLSI DESIGN APPROACHES

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

3: MOS Transistors. Non idealities

CHAPTER 2 LITERATURE REVIEW

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Contents 1 Introduction 2 MOS Fabrication Technology

A NOVEL DYNAMIC POWER CUTOFF TECHNOLOGY (DPCT) FOR ACTIVE LEAKAGE REDUCTION IN DEEP SUBMICRON VLSI CMOS CIRCUITS

EE 330 Lecture 12. Devices in Semiconductor Processes. Diodes

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Ultra Low Power VLSI Design: A Review

Lecture-45. MOS Field-Effect-Transistors Threshold voltage

Study of Outpouring Power Diminution Technique in CMOS Circuits

NAME: Last First Signature

Solid State Devices- Part- II. Module- IV

Solid State Device Fundamentals

Leakage Control for Deep-Submicron Circuits

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

Power dissipation in CMOS

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

MOS TRANSISTOR THEORY

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Leakage Power Reduction in CMOS VLSI

ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline:

problem grade total

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

Lecture 4. MOS transistor theory

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

MASTER OF TECHNOLOGY in VLSI Design & CAD

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families

MOSFET & IC Basics - GATE Problems (Part - I)

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

FUNDAMENTALS OF MODERN VLSI DEVICES

Low Power Design of Successive Approximation Registers

MOSFET short channel effects

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

Power Spring /7/05 L11 Power 1

MOSFET Parasitic Elements

EE70 - Intro. Electronics

Leakage Current Analysis

MOS Field Effect Transistors

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

19. Design for Low Power

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

A Survey of the Low Power Design Techniques at the Circuit Level

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques:

Experiment 3. 3 MOSFET Drain Current Modeling. 3.1 Summary. 3.2 Theory. ELEC 3908 Experiment 3 Student#:

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Topic 2. Basic MOS theory & SPICE simulation

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

EJERCICIOS DE COMPONENTES ELECTRÓNICOS. 1 er cuatrimestre

Leakage Power Reduction by Using Sleep Methods

Three Terminal Devices

Department of Electrical Engineering IIT Madras

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

Opportunities and Challenges in Ultra Low Voltage CMOS. Rajeevan Amirtharajah University of California, Davis

MEASUREMENT AND INSTRUMENTATION STUDY NOTES UNIT-I

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

Lectures 4 and 5: Delay Modeling

Learning Outcomes. Spiral 2-6. Current, Voltage, & Resistors DIODES

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

Lecture 16: MOS Transistor models: Linear models, SPICE models. Context. In the last lecture, we discussed the MOS transistor, and

Low-Power Digital CMOS Design: A Survey

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

MOS Field-Effect Transistors (MOSFETs)

UNIT 3: FIELD EFFECT TRANSISTORS

MOSFET Terminals. The voltage applied to the GATE terminal determines whether current can flow between the SOURCE & DRAIN terminals.

Semiconductor Physics and Devices

Leakage Currents: Sources and Solutions for Low-Power CMOS VLSI Martin Martinez IEEE Student Member No Lamar University 04/2007

Transcription:

EEC 216 Lecture #8: Leakage Rajeevan Amirtharajah University of California, Davis

Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage Cache SRAM Design Examples Next Time: Energy Recovery Circuits R. Amirtharajah, EEC216 Winter 2008 2

Announcements In-class Midterm February 15 Office Hours Thursday, February 14, 2-4 PM R. Amirtharajah, EEC216 Winter 2008 3

Midterm Summary Allowed calculator and 1 side of 8.5 x 11 paper for formulas Covers following material: 1. Power: Dynamic and Short Circuit Current 2. Metrics: PDP and EDP 3. Logic Level Power: Activity Factors and Transition Probabilities 4. Architectural Power Estimation and Reduction 5. Logic Styles: Static CMOS, Pseudo NMOS, Dynamic, Pass Gate 6. Latches, Flip-Flops, and Self-Timed Circuits 7. Low Power Interconnect R. Amirtharajah, EEC216 Winter 2008 4

Midterm Examples 1. Derive and optimize a low power design metric given a current equation 2. Design a combinational logic datapath at the gate level to compute some function and derive the activity factors of the circuit nodes 3. Design at the transistor level a complex gate, size it based on RC models, and derive worst case switched capacitance 4. Estimate interconnect capacitance and minimize interconnect power 5. Essay question on design tradeoffs R. Amirtharajah, EEC216 Winter 2008 5

Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage Cache SRAM Design Examples Next Time: Energy Recovery Circuits R. Amirtharajah, EEC216 Winter 2008 6

CMOS Inverter Down Converter VDDH VDDL VDDL 0V 0V Drive input from rail-to-rail Output goes from VDDL to Gnd R. Amirtharajah, EEC216 Winter 2008 7

Cross Coupled Pullup Up Converter Out Out In R. Amirtharajah, EEC216 Winter 2008 8

Stepwise Charging Φ N-1 Φ N + V N 1 C T Φ 1 + C T Φ 0 Out V 1 + Φ GND C T V 0 R. Amirtharajah, EEC216 Winter 2008 9

Data-Dependent Swing Bus Circuit Hiraki, JSSC 95 R. Amirtharajah, EEC216 Winter 2008 10

Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage Cache SRAM Design Examples Next Topic: Energy Recovery Circuits R. Amirtharajah, EEC216 Winter 2008 11

CMOS Inverter Example I sc I dyn I tun C L I subth R. Amirtharajah, EEC216 Winter 2008 12

Components of CMOS Power Dissipation Dynamic Power Charging and discharging load capacitances Short Circuit (Overlap) Current Occurs when PMOS and NMOS devices on simultaneously Static Current Bias circuitry in analog circuits Leakage Current Reverse-biased diode leakage Subthreshold leakage Tunneling through gate oxide R. Amirtharajah, EEC216 Winter 2008 13

Extremely Brief MOSFET Review Saturation: I D μc 2 W L ( ) 2 V V ( + λv ) ox = GS T 1 DS Triode: Subthreshold: I = μc D ox W L ( ) DS V 2 GS VT VDS Classical MOSFET model, will discuss deep submicron modifications as necessary R. Amirtharajah, EEC216 Winter 2008 14 VGS nkt q I = D I Se 1 e V DS kt q V 2

Subthreshold Conduction V < V GS T + n n p Weak inversion, MOSFET partially conducting n+ source p+ bulk n+ drain forms parasitic bipolar Approximate current with exponential function R. Amirtharajah, EEC216 Winter 2008 15

Drain Current vs. Gate-Source Voltage 10 4 10 6 Quadratic Linear I DS 10 8 10 10 Subthreshold 10 12 0 0.5 1. 0 1. 5 2. 0 2. 5 V T V GS R. Amirtharajah, EEC216 Winter 2008 16

Subthreshold Current Equation I D = I S e V n GS kt q 1 ( 1+ λv ) R. Amirtharajah, EEC216 Winter 2008 17 e V DS kt q I s and n are empirical parameters Typically, often ranging around Usually want small subthreshold leakage for digital designs Define quality metric: inverse of rate of decline of current wrt V GS below V T Subthreshold slope factor S: DS n 1 n 1. 5 kt S = n q ln( 10)

Ideal case: n = 1 Subthreshold Slope Factor S evaluates to 60 mv/decade (each 60 mv V GS drops below V T, current drops by 10X) Typically n = 1.5 implies slower current decrease at 90 mv/decade Current rolloff further decreased at high temperature, where fast CMOS logic tends to operate n determined by intrinsic device topology and structure Changing n requires different process, like SOI R. Amirtharajah, EEC216 Winter 2008 18

Leakage Currents in Deep Submicron S G I7, I8 D I2, I3, I6 I5 B I4 I1 Many physical mechanisms produce static currents in deep submicron R. Amirtharajah, EEC216 Winter 2008 19

Transistor Leakage Mechanisms 1. pn Reverse Bias Current (I1) 2. Subthreshold (Weak Inversion) (I2) 3. Drain Induced Barrier Lowering (I3) 4. Gate Induced Drain Leakage (I4) 5. Punchthrough (I5) 6. Narrow Width Effect (I6) 7. Gate Oxide Tunneling (I7) 8. Hot Carrier Injection (I8) R. Amirtharajah, EEC216 Winter 2008 20

pn Reverse Bias Current (I1) Reverse-biased pn junction current has two main components Minority carrier drift near edge of depletion region Electron-hole pair generation in depletion region of reverse-biased junction If both n and p regions doped heavily, Zener tunneling may also be present In MOSFET, additional leakage can occur Gated diode device action (gate overlap of drain-well pn junctions) Carrier generation in drain-well depletion regions influenced by gate Function of junction area, doping concentration Minimal contributor to total off current R. Amirtharajah, EEC216 Winter 2008 21

Drain Current vs. Gate-Source Voltage 10 4 10 6 Quadratic Linear I DS 10 8 10 10 Subthreshold 10 12 0 0.5 1. 0 1. 5 2. 0 2. 5 V T V GS R. Amirtharajah, EEC216 Winter 2008 22

Subthreshold Current Equation I D = I S e V n GS kt q 1 ( 1+ λv ) R. Amirtharajah, EEC216 Winter 2008 23 e V DS kt q I s and n are empirical parameters Typically, often ranging around Usually want small subthreshold leakage for digital designs Define quality metric: inverse rate of decline of current wrt V GS below V T Subthreshold slope factor S: DS n 1 n 1. 5 kt S = n q ln( 10)

I D = Detailed Subthreshold Current Equation ( ) qvds V V γv + V 1 exp q Aexp GS T 0 S η nkt V T0 = zero bias threshold voltage, μ0 = zero bias mobility Cox = gate oxide capacitance per unit area γ = linear body effect coefficient (small source voltage) η = DIBL coefficient W kt A = μ ox L q R. Amirtharajah, EEC216 Winter 2008 24 D 1.8 0C e 2 kt

Subthreshold Slope of Various Processes Technology Doping S (mv / decade) 0.8 μm, 5 V CMOS LDD 86 0.6 μm, 5 V CMOS LDD 80 0.35 μm, 3.3 V BiCMOS LDD 80 0.35 μm, 2.5 V CMOS HDD 78 0.25 μm, 1.8 V CMOS HDD 85 Roy & Prasad, p. 216 R. Amirtharajah, EEC216 Winter 2008 25

Drain Induced Barrier Lowering (I3) DIBL occurs when drain depletion region interacts with source near channel surface Lowering source potential barrier Source injects carriers into channel without influence of gate voltage DIBL enhanced at higher drain voltage, shorter effective channel length Surface DIBL happens before deep bulk punchthrough DIBL does not change S but lowers V T Higher surface, channel doping and shallow junctions reduce DIBL leakage current mechanism R. Amirtharajah, EEC216 Winter 2008 26

Gate Induced Drain Leakage (I4) GIDL current appears in high E-field region under gate / drain overlap causing deep depletion Occurs at low V G and high V D bias Generates carriers into substrate from surface traps, band-to-band tunneling Localized along channel width between gate and drain Seen as hook in I-V characteristic causing increasing current for negative V G Thinner oxide, higher VDD, lightly-doped drain enhance GIDL Can be major obstacle to reducing off current R. Amirtharajah, EEC216 Winter 2008 27

Revised Drain Current vs. Gate Voltage 10 4 10 6 DIBL I DS 10 8 10 10 10 12 0.5 GIDL Subthreshold I1,I2 0 0.5 1. 0 1. 5 2. 0 2. 5 V T Roy & Prasad, p. 217 V GS R. Amirtharajah, EEC216 Winter 2008 28

Punchthrough V DS n n p Source / Drain depletion regions touch deep inside channel R. Amirtharajah, EEC216 Winter 2008 29

Punchthrough Channel Current (I5) Space-charge condition allows channel current to flow deep in subgate region Gate loses control of subgate channel region Current varies quadratically with drain voltage Subthreshold slope factor S increases to reflect increase in drain leakage Regarded as subsurface version of DIBL R. Amirtharajah, EEC216 Winter 2008 30

Gate Oxide Tunneling (I7) I OX = AE 2 OX B E OX High E-field E OX can cause direct tunneling through gate oxide or Fowler-Nordheim (FN) tunneling through oxide bands Typically, FN tunneling at higher field strength than operating conditions (likely remain in future) Significant at oxide thickness < 50 Angstroms Could become dominant leakage mechanism as oxides get thinner High K dielectrics might make better Interesting circuit design issues (see ISSCC 2004) R. Amirtharajah, EEC216 Winter 2008 31 e

Other Leakage Effects Narrow Width Effect (I6) V T increases for geometric gate widths around 0.5 μm in non-trench isolated technologies Opposite effect in trench isolated technologies: V T decreases for widths below 0.5 μm Hot Carrier Injection (I8) Short channel devices susceptible to energetic carrier injection into gate oxide Measurable as gate and substrate currents Charges are a reliability risk leading to device failure Increased amplitude as length reduced unless V DD scaled accordingly R. Amirtharajah, EEC216 Winter 2008 32

Leakage Summary I DS 10 6 10 8 10 10 10 12 10 14 Weak inversion + pn junction + DIBL + GIDL (V D = 3.9 V) Weak inversion + pn junction + DIBL (V D = 2.7 V) Weak inversion + pn junction (S = 80 mv/decade, V D = 0.1 V) pn junction Roy & Prasad, p. 219 No punchthrough No width effect No gate leakage R. Amirtharajah, EEC216 Winter 2008 33

Leakage Current Estimation P = leak DS DSi i Parallel transistors, simply add leakage contributions for each one For series connected devices, calculating leakage currents more complex Equate subthreshold currents through each device in series stack Solve for V DS1 (first device in series stack) in terms of V DD assuming source voltage small Remaining voltages must sum to total voltage drop across series stack R. Amirtharajah, EEC216 Winter 2008 34 I i V

Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage Cache SRAM Design Examples Next Time: Energy Recovery Circuits R. Amirtharajah, EEC216 Winter 2008 35

Channel Engineering for Reduced Leakage Retrograde well n p n Halo doping Goal: optimize channel profile Minimize leakage while maximizing drive current R. Amirtharajah, EEC216 Winter 2008 36

Modifying Channel for Leakage Reduction Process modifications can be used to decrease subthreshold leakage Retrograde doping Vertically non uniform, low to high doping concentration going deeper into the substrate Increase mobility near channel surface Creates barrier to punchthrough in bulk Reduce impact of short channel length on V T Halo doping Highly doped p-type implanted near channel ends Reduces charge-sharing effects from source and drain fields, decreases DIBL and punchthrough R. Amirtharajah, EEC216 Winter 2008 37

Stacking Effect in Two-Input NAND Gate I leak Out A B M0 M1 V M Multiple off transistors dramatically cuts leakage R. Amirtharajah, EEC216 Winter 2008 38

Stacking Transistors Leakage Effects Intermediate node voltage V M > 0 V Positive source voltage for device M0 has three major effects: 1. V GS0 = V in V M = 0 V V M < 0 V reduces subthreshold current exponentially 2. Body to source potential (V BS0 = 0 V V M < 0 V) becomes negative, increasing V TH through increased body effect, thus decreasing I leak 3. Drain to source potential (V DS0 = V DD V M ) decreases, increasing V TH through reduced DIBL, thus decreasing I leak further R. Amirtharajah, EEC216 Winter 2008 39

Stacking Effect Impact Leakage current drops by an order of magnitude Leakage highly dependent on input vector Can reduce leakage power by choosing input bits carefully Large search space (2 N possible vectors), so exhaustive search impossible for large fan-in logic Can use genetic algorithm to find near-optimal input vector Can effectively control leakage in standby mode R. Amirtharajah, EEC216 Winter 2008 40

Multiple Threshold Voltages If process implements two threshold devices, can control leakage by mixing both types of devices Use high V T transistors to interrupt leakage paths Use low V T devices for high performance Implementing multiple thresholds Multiple channel doping densities Multiple gate oxides Multiple channel lengths Multiple body biases R. Amirtharajah, EEC216 Winter 2008 41

Implementing Multiple Threshold Voltages I Multiple Channel Doping Varying channel dopant concentration shifts V T Requires additional mask steps Threshold voltage variation makes it challenging to achieve consistently, esp. when thresholds close Increasingly difficult in future deep submicron Multiple Gate Oxides Grow two different oxide thicknesses Thicker oxide results in higher V T, lower subthreshold leakage and gate tunneling current, lower dynamic power through reduced gate capacitance Must increase channel length with oxide thickness R. Amirtharajah, EEC216 Winter 2008 42

Implementing Multiple Threshold Voltages II Multiple Channel Lengths Decreasing channel length reduces V T (consider threshold to be V GS which results in a fixed current) Achieved in conventional CMOS technology Longer channels increase gate capacitance and dynamic power Multiple Body Biases Body (substrate) voltage changed to modify V T For individual transistor control, requires triple well process since devices cannot share same well Easy to include in Silicon-on-Insulator (SOI) processes since devices automatically isolated R. Amirtharajah, EEC216 Winter 2008 43

Multiple Threshold CMOS Sleep P0 V DDV Out A B V SSV Sleep N0 R. Amirtharajah, EEC216 Winter 2008 44

PMOS Insertion Multiple Threshold CMOS Sleep P0 V DDV Out A B Use only PMOS high V T device to limit leakage current R. Amirtharajah, EEC216 Winter 2008 45

NMOS Insertion Multiple Threshold CMOS V DD A Out B V SSV Sleep N0 Use only NMOS high V T device to limit leakage current R. Amirtharajah, EEC216 Winter 2008 46

Multiple Threshold CMOS Design Must design sleep transistors with low on resistance so virtual supplies almost function like real supplies NMOS insertion better since a narrower device results in same on resistance Easy to implement based on existing circuits MTCMOS only reduces standby leakage Active mode leakage also a concern Large inserted FETs increase area and delay Data retention in standby mode requires extra high V T memory circuit R. Amirtharajah, EEC216 Winter 2008 47

Super Cutoff CMOS V + ΔV DD P0 V DDV Out A B V SSV V ΔV SS N0 R. Amirtharajah, EEC216 Winter 2008 48

A B Dual Threshold Datapath Y0 Y3 B C i Y1 C o A Y2 C i Assign high V T devices to non critical path gates (e.g.,y0 = A * B for Full Adder carry logic) Use low V T in critical path (e.g., carry in from preceding adder stages) R. Amirtharajah, EEC216 Winter 2008 49

Variable Threshold CMOS V DD V BP standby active V SS V BN active standby R. Amirtharajah, EEC216 Winter 2008 50

Variable Threshold CMOS Design Body biasing technique Self-substrate bias circuit used to control body bias and adjust threshold voltage Active mode: nearly zero applied bias Slightly forward substrate bias can increase speed in active mode Standby mode: deep reverse bias applied Increases threshold voltage Reduces subthreshold leakage current Routing body net adds to overall area R. Amirtharajah, EEC216 Winter 2008 51

Dynamic Threshold CMOS V DD V SS R. Amirtharajah, EEC216 Winter 2008 52

Dynamic Threshold CMOS Design Threshold voltage adjusted dynamically with operating state of circuit Want high threshold in standby mode for low subthreshold leakage Want low threshold in active mode for high drive current Implement by tying body terminal to input Requires triple well technology in bulk CMOS Supply voltage limited by diode built-in potential (source-body pn diode should be reverse biased) Ultra low supply voltage (V DD < 0.6 V) Stronger advantages in partially depleted SOI R. Amirtharajah, EEC216 Winter 2008 53

Dual Gated SOI MOSFET V GS + n p n Double gate for dynamic threshold adjustment R. Amirtharajah, EEC216 Winter 2008 54

DG Dynamic Threshold SOI Design Asymmetrical double gate SOI MOSFET Back gate oxide thicker than front gate oxide Threshold voltage of back gate larger than supply voltage Front gate threshold voltage changes dynamically with back gate voltage Nearly ideal symmetric subthreshold characteristics Power delay product better (smaller) than symmetric double gate SOI CMOS R. Amirtharajah, EEC216 Winter 2008 55

Threshold Voltage Hopping Scheme en V TH V DD V BP0 en V TL V BP1 en V TH en V TL V BN0 V BN1 V SS R. Amirtharajah, EEC216 Winter 2008 56

Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage Cache SRAM Design Examples Next Time: Energy Recovery Circuits R. Amirtharajah, EEC216 Winter 2008 57

Leakage Power Significant for Caches Large fraction of current processor die devoted to memory structures (caches, TLB, etc.) Alpha 21264: 30% of area, StrongARM 60% Caches account for large component of total leakage power At 130 nm process node: Leakage equals 30% of total L1 cache energy Leakage accounts for 80% of total L2 cache energy Explore techniques for reducing leakage power in caches (SRAMs in general) R. Amirtharajah, EEC216 Winter 2008 58

WL SRAM Cell Leakage Paths 0 1 BL BL Dominant leakage paths: bitline to GND, V DD to GND R. Amirtharajah, EEC216 Winter 2008 59

Gated Ground SRAM Cell Operation Extra NMOS device gates power on and off Can be shared among all cells in a row, amortizing area and power overhead Sizing strongly affects power, performance, and data retention capability of SRAM cell Must be large enough to sink read/write current and maintain SRAM state, but if too large reduces stacking effect and increases leakage Word line decoder controls bottom NMOS Turning off NMOS device cuts off leakage Also allows virtual ground to float higher in standby, reducing noise immunity Simulate to verify SRAM data maintained in standby R. Amirtharajah, EEC216 Winter 2008 60

Data Retention Gated-Ground SRAM Cell WL 0 1 V SSV BL Sleep N0 BL Sleep device turned ON in active rows, OFF in inactive R. Amirtharajah, EEC216 Winter 2008 61

Drowsy SRAM Cell Operation Idea: during access, SRAM cell in high power and performance mode, otherwise in low power drowsy mode Approach: switch between low and high V DD Rely on short channel effects to decrease leakage at low supply voltage Implement using two high V T PMOS devices to switch between supplies High V T required to reduce leakage between supplies Requires a separate V DD mux for each cache line Scale V DD to about 1.5 times V T and still maintain state (0.3 V in 70 nm) R. Amirtharajah, EEC216 Winter 2008 62

Drowsy SRAM Cell WL V DDV 0 1 BL BL Virtual V DD switched by high V T PMOS devices R. Amirtharajah, EEC216 Winter 2008 63

Dynamic Threshold SRAM Cell Operation Idea: during access, SRAM cell in high power and performance mode (low V T ), otherwise in low leakage (high V T ) mode Approach: switch between low and high body bias Body bias at 0 V for high speed Body bias negative to increase V T and cut leakage Energy for single substrate transition greater than saved in leakage for a single clock cycle Body bias updates must be at larger time increments Exploit spatial and temporal locality to bias one cache line at a time based on program accesses R. Amirtharajah, EEC216 Winter 2008 64

Dynamic Threshold SRAM Cell WL 0 1 N sub BL N sub en V TH V BN0 en V TL VBN1 BL R. Amirtharajah, EEC216 Winter 2008 65

Conclusions Leakage is an increasingly important component of total power dissipation A variety of physical mechanisms cause leakage currents Subthreshold conduction probably most important Many proposed circuit techniques to deal with it Multiple thresholds available in process: use stacked devices or low leakage series devices Adjust thresholds using bulk terminal dynamically Techniques starting to appear in commercial designs, especially for large memories such as on-chip cache R. Amirtharajah, EEC216 Winter 2008 66