The Future of Packaging ~ Advanced System Integration

Similar documents
POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

3D ICs: Recent Advances in the Industry

Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

SiP packaging technology of intelligent sensor module. Tony li

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

New Wave SiP solution for Power

Market and technology trends in advanced packaging

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

Trends in Advanced Packaging Technologies An IMAPS UK view

Enabling concepts: Packaging Technologies

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Innovative Embedded Technologies to Enable Thinner IoT/Wearable/Mobile Devices

!"#$"%&' ()#*+,-+.&/0(

Fraunhofer IZM - ASSID

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Silicon Interposers enable high performance capacitors

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President

SESUB - Its Leadership In Embedded Die Packaging Technology

Yole Developpement. Developpement-v2585/ Publisher Sample

Organic Packaging Substrate Workshop Overview

Fan-Out Wafer Level Packaging Patent Landscape Analysis

Substrates Lost in Translation

2D to 3d architectures: back to the future

Fan-Out Wafer Level Packaging Patent Landscape Analysis

MEPTEC Roadmaps 2013 Session 1 Notes. Paul Werbaneth 3D InCites

2.5D Platform (Examples of products produced to date are shown here to demonstrate Amkor's production capabilities)

Amkor s 2.5D Package and HDFO Advanced Heterogeneous Packaging Solutions

The Future of Packaging and Cu Wire Bonding Advances. Ivy Qin

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions

The Advantages of Integrated MEMS to Enable the Internet of Moving Things

Adaptive Patterning. ISS 2019 January 8th

Smart Devices of 2025

Fabricating 2.5D, 3D, 5.5D Devices

Packaging Technology and Design Challenges for Fine Pitch Cu Pillar and BOT (Bond on Trace) using Thermal Compression Bonding

Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration

Image Sensor Advanced Package Solution. Prepared by : JL Huang & KingPak RD division

10nm CPI Study for Fine Pitch Flip Chip Attach Process and Substrate

Figure 1. FCBGA and fccsp Packages

Thermal Management in the 3D-SiP World of the Future

IMAGE SENSOR EVOLUTION AND ENABLING 3D TECHNOLOGIES

Stack Die CSP Interconnect Challenges Flynn Carson, Glenn Narvaez, HC Choi, and DW Son ChipPAC, Inc.

An Introduction to Electronics Systems Packaging. Prof. G. V. Mahesh. Department of Electronic Systems Engineering

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

The 3D Silicon Leader

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract)

Integrated Photonics using the POET Optical InterposerTM Platform

Chapter 7 Introduction to 3D Integration Technology using TSV

Opportunities and challenges of silicon photonics based System-In-Package

Lithography in our Connected World

Fiber Optics for Harsh Environments ICSO Chuck Tabbert

Signal Integrity Modeling and Measurement of TSV in 3D IC

David B. Miller Vice President & General Manager September 28, 2005

New Approaches to Develop a Scalable 3D IC Assembly Method

Data Sheet _ R&D. Rev Date: 8/17

Advanced Packaging Solutions

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

Compression Molding. Solutions for 3D TSV and other advanced packages as well as cost savings for standard package applications

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Technology & Manufacturing

Brief Introduction of Sigurd IC package Assembly

Sectional Design Standard for High Density Interconnect (HDI) Printed Boards

IMAPS NE 45 A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS

Technology Trends and Future History of Semiconductor Packaging Substrate Material

FO-WLP, Embedded Die, and Alternatives: Market Trends and Drivers

Advances in stacked-die packaging

MCO Applications. 24th January 2011, Washington DC. JSTC 24 January

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna

The Role of Flip Chip Bonding in Advanced Packaging David Pedder

EUFANET Toulouse conferences, November 28th-29th, 2011 Stéphane Bellenger, IPDiA

High Density Interconnect on Flexible Substrate

PANEL LEVEL PACKAGING A MANUFACTURING SOLUTION FOR COST-EFFECTIVE SYSTEMS

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

Flip-Chip for MM-Wave and Broadband Packaging

Product Catalog. Semiconductor Intellectual Property & Technology Licensing Program

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Chip Scale Package Fiber Optic Transceiver Integration for Harsh Environments

Fine Pitch Cu Pillar Assembly Challenges for Advanced Flip Chip Package

Significant Developments and Trends in 3D Packaging with Focus on Embedded Substrate Technologies

Diverse Lasers Support Key Microelectronic Packaging Tasks

Status of Panel Level Packaging & Manufacturing

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger - FormFactor

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016

Session 4: Mixed Signal RF

Flip-Chip Bumping Services: Driving Value-Added Businesses

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

2.5D & 3D Package Signal Integrity A Paradigm Shift

An innovative plating system

Advanced Packaging Technology Symposium

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

ACTIVE IMPLANTS. Glass Encapsulation

Foundry WLSI Technology for Power Management System Integration

Signal Integrity Design of TSV-Based 3D IC

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger FormFactor

Glass Substrates for Semiconductor Manufacturing

Transcription:

The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013

Product Segments End Market % Share Summary 2

New Product Technology Focus 3

Market Direction & Drivers 4

Foundational Blocks for Advanced Integration Cu Pillar TSV Advanced Materials Advanced Flip Chip Embedded Die 5

Foundational Blocks for Advanced Integration Cu Pillar TSV Advanced Materials Advanced Flip Chip Embedded Die 6

Interconnection : Fine Pitch Cu Pillar Driven by Demand for Handheld, High Performance, Low Power Devices Provides Significant Improvement Over Solder Bump Launched in 2010, ~ 200 Million Units Sold WW Industry-Leading Platform Being Adopted by Most Customers Enabling Technology for 2.5D and 3D Packaging with Through Silicon Vias 7

Why the Need for Cu Pillar? Technology Trends Devices Function Integration in All Three Dimensions Silicon Node Shrinks But Die Size Remains Unchanged Increased I/O Density Finer BGA Pitch More Demanding Warpage and Coplanarity Criteria Digital Baseband Applications Processor Networking ASICs Digital TV ASICs Power Management Embedded Processing DSP, MCU, Digital Media 8

Fine Amkor Pitch FPFC Cu Pillar Technology Formats From Standard CSP Package Structure To Broad Package Application PSfcCSP fccsp TMV PoP FCBGA 9

Foundational Blocks for Advanced Integration Cu Pillar TSV Thermal & Adv. Materials High-End FC WLFO 10

Integration : Through Silicon Via (TSV) Current Status World s first production fully integrated TSV package platform completed Logic dies on Si interposer product is being produced Large number of customers engaged in active TSV development Future Target devices Logics on Si interposer Logics + memories on Si interposer Memory / Memory stack Memory / Logic combination 11

2.5D Multi-Chip Interposer Breakdown High End Products : Networking, Servers Silicon interposers ; < 2um L/S, < 15nsec latency, > 25k µbumps per die Several foundries delivering silicon interposers today Others in consideration of adding capability to make use of unused assets Mid Range Products : Gaming, Graphics, HDTV, Adv. Tablets Silicon or Glass interposers ; < 3um L/S, < 25nsec latency, ~10k µbumps/die Glass may provide cost reduction path in future Glass interposers infrastructure still immature, but improving Low Cost Products : Lower End Tablets, Smart Phones Organic interposer?? ; < 8um L/S, low resistance, ~2k µbumps Must provide cost reduction path to enable this sector ; thick copper traces Possible elimination of laminate substrate, but organic interposer as substrate!! 12

Memory Sources End customer choosing memory supplier Memory supply chain is constrained today 2 different sources Elpida (Micron) & Hynix Logistics Plan is to receive memory as KGM on tape and reel End customer will manage logistics through consignment models Activity Multiple programs in progress with stacked memory in wide I/O format HBM or Wide-IO2 Shipping single die, 2 die stacks and 4 die stacks already Most development being completed with 4 die stacks now 13

Package Migration to TSV SiP-MCM Integration TSV Product Demand (300mm eq. wafers) 9.000 8.000 7.000 6.000 5.000 4.000 3.000 2.000 1.000 0 2012 2013 2014 2015 2016 2017 2018 2019 2020 Wafer Shipments (in 1000 s) 5000 4000 3000 2000 3D-ICs, old forecast 3D-ICs, new forecast TSV Interposers Courtesy of TechSearch International, Inc. 2012 1000 2010 2012 2014 2016 2018 14

Foundational Blocks for Advanced Integration Cu Pillar TSV Advanced Materials Advanced Flip Chip Embedded Die 15

Embedded Die : 3 Levels of Architecture Substrate Level Passive Components Active Die Wafer Level Die Single Die Multi-Die 3D Package Single Die Multi-Die 3D Pkg Passive integration Internal EMI shielding possibilities Multi-die capability more than one die may be embedded Two-sided construction top side components may be mounted 16

Embedded Die in Substrate Embedding Active Die : in small volume production today 5x3mm embedded die, 12mm body, 6Layer substrate, 536 Balls Passed package reliability : MRT L3 260C ; HAST 96hrs, TCB1000, HTS 1k-hrs PCB to Die:185 um Inner Die: 185 um PCB: 400 um Top Die Embedded Die 65 mm Laser Via 17

Embedded Die in Substrate (cont d). Embedding Passive Die : in high volume production today Passive Component (MLCC : 100nF, 1.0 x 0.5mm) fcpip, 1x1mm embedded IPD, 15BD, 4Layer, 603 Balls passed MRT L3 260 C ; HAST 96hrs, TCBx1000, HTS 1000hrs Passive Die (IPD : 100nF, 1.0 x 1.0mm) fcpip, 1x1mm embedded IPD, 15BD, 4Layer, 603 Balls passed MRT L2aa 260 C ; HAST 96hrs, TCBx1000, HTS 1000hrs 18

Advanced Platform : Wafer Based Fan Out Embedded die on Wafer Product capability up to 15x15mm, 0.4mm pitch, 1000 I/O+ 300mm formats Fully deployed for several years now 19

Advanced Platform : Wafer Based Fan Out (Cont d) Customer Interest Hybrid Packages, RF Connectivity, Audio modules & Sensor Applications No Wire or Substrate Die Shrink Continuing Entry Large I/O Count Without Die Increase niche Face to Face PoP/Sensor Application Expand 3D PKG Platform Creation growing Die Die Cost Reduce Core Technology Development Customer / Product Base Widens 20

Market Growth for Wafer Fan Out Packages Single die solutions remain niche to market ; primarily one customer driving use 21

Evolution of Wafer Based Fan Out Wafer Fan Out 3D Products Customer interest expanding to two sided structures Requiring more functionality Ultimate goal is multi chip in smallest form factor. H Thru Mold Via 3D-WLFO Benefits Die Die Die Die Die Die Die Die Die Die 3D-WLFO Benefits 22

Market Growth for Wafer Fan Out Packages Multi die solutions will drive future market ; triggers growth phase 23

Embedded in Panel : Future of Embedded Die 200mm Phase 1 300mm Phase 2 300mm 300mm 3D Phase 3 Panel 3D Development Well Underway Phase 4 24

Foundational Blocks for Advanced Integration Cu Pillar TSV Advanced Materials Advanced Flip Chip Embedded Die 25

Advanced Flip Chip Continuing to Drive Growth 26

High Performance Flip Chip Industry Direction Increasing body size (>55mm BD) Increasing die size (>26mm) 32/28nm in production with 20nm qualification in progress Cu Pillar to enable density / pitch below 150um bump pitch Coreless substrates in use for 32/28nm Multiple die per package. With die count continuing to increase 27

Advanced FC Packages : Chip on Chip Next Generation of FC CoC POSSUM MEMs, Automotive, Networking 28

Advanced FC Packages : Chip on Chip, cont. 2014 Targeted production Networking Microcontroller Automotive Heat Spreader ASIC + FPGA LOGIC Substrate ASIC + Memory ASIC + Memory 200um bump pitch LOGIC (780um thickness) 40um bump pitch CoC Technology ASIC (60um thickness) Cu pillar with LF solder + microbump 29

Foundational Blocks for Advanced Integration Cu Pillar TSV Advanced Materials Advanced Flip Chip Embedded Die 30

Advanced Materials Enabling Package Integration Die Attach Substrate Underfill Material & Equipment Technology Enabling System Integration Thermal 31

Advanced Packaging & Technology Integration Copper µpillar Bumping Die Joining Adaptive Learning Required Silicon Interposer Substrate Underfill Thermal Sub-assembly & Package Warpage 32

Foundational Blocks for Advanced Integration Cu Pillar TSV Advanced Materials Advanced Flip Chip Embedded Die 33

Smaller Form Factor Larger Advanced Package Integration Becoming Clearer Board Level LOGIC CoC possum ASIC Wafer Level Die Die Level Interconnect Density & Functionality : Increasing 34

Package Migration to SiP - MCM Integration 35

Advanced Silicon Nodes Driving Higher Costs 36

SOC to 2.5D TSV MCM SiP Drivers Monolithic 22nm SOC Type 1 Logic 1 Logic 2 Logic SoC Logic 3 Logic 4 Logic 1 Logic 2 Logic 3 Logic 4 Multi-Die Interposer SiP Monolithic 22nm SOC Type 2 Logic 1 Cache Analog SoC Logic 2 Logic 1 Logic 2 Logic 1 Logic 1 Logic 2 Cache Analog Multi-Die Interposer SiP Focus Process Node Development on Specific Application Functionalities Reduces complexity and mask layer count of process node Improves wafer yield Reduces wafer start cost Improves performance, power, and area of each application 37

Industry Advanced Package Integration Roadmap Commissioned report September 2011 courtesy of Amkor Technology and Yole Développement 38

Smaller Form Factor Larger Amkor s View : Future of Advanced Package Production Transition Developing Si Photonics + TSV Die Die All About System Modularity & Integration Interconnect Density & Functionality : Increasing 39

Thank You! Enabling a Microelectronic World