A 10 MHz Mixed-Signal CPM Controlled DC-DC Converter IC with Novel Gate Swing Circuit and Instantaneous Efficiency Optimization

Similar documents
ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS

A Low-Power Mixed-Signal Current-Mode DC-DC Converter Using a One-Bit Σ DAC

Multiphase Optimal Response Mixed-Signal Current- Programmed Mode Controller

DIGITAL controllers that can be fully implemented in

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads

Digital Controller for High-Frequency Rectifiers with Power Factor Correction Suitable for

Limit-Cycle Based Auto-Tuning System for Digitally Controlled Low-Power SMPS

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

Sensorless Digital Peak Current Controller for Low-Power DC-DC SMPS Based on a Bi-Directional Delay Line

Proposed DPWM Scheme with Improved Resolution for Switching Power Converters

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof.

Digital PWM IC Control Technology and Issues

LOW-VOLUME BUCK CONVERTER WITH ADAPTIVE INDUCTOR CORE BIASING

A 1V Buck Converter IC with Hybrid Current-Mode Control and a Charge-Pump DAC

THE GROWTH of the portable electronics industry has

Plug-and-Play Digital Controllers for Scalable Low-Power SMPS

Digital Controller Chip Set for Isolated DC Power Supplies

/$ IEEE

Digital PWM IC Control Technology and Issues

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS 1

INTERACTIVE FLEXIBLE SWITCH MODE POWER SUPPLIES FOR REDUCING VOLUME AND IMPROVING EFFICIENCY

2342 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 12, DECEMBER 2004

IN LOW-POWER switch-mode power supplies (SMPS) used

A Low Power Small Area Multi-bit Quantizer with A Capacitor String in Sigma-Delta Modulator

Power Management for Portable Audio

Design of Dual Mode DC-DC Buck Converter Using Segmented Output Stage

GENERALLY speaking, to decrease the size and weight of

Dead-Time Control System for a Synchronous Buck dc-dc Converter

NOWADAYS, multistage amplifiers are growing in demand

IN MODERN low-power applications such as mobile devices,

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

High Voltage Operational Amplifiers in SOI Technology

High Power Density Power Management IC Module with On-Chip Inductors

High Resolution Digital Duty Cycle Modulation Schemes for Voltage Regulators

Programmable Digital Controller for Multi-Output DC-DC Converters with a. Time-Shared Inductor

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

An Analog CMOS Double-Edge Multi-Phase Low- Latency Pulse Width Modulator

A Digital Predictive On-Line Energy Optimization Scheme for DC-DC Converters

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage

Advanced Operational Amplifiers

A new class AB folded-cascode operational amplifier

Programmable Digital Controller for Multi-Output DC-DC Converters with a Time-Shared Inductor

RESISTOR-STRING digital-to analog converters (DACs)

Design of High Gain Low Voltage CMOS Comparator

Electronics A/D and D/A converters

IMPLEMENTATION OF A LOW-KICKBACK-NOISE LATCHED COMPARATOR FOR HIGH-SPEED ANALOG-TO-DIGITAL DESIGNS IN 0.18

Minimum Deviation Digital Controller IC for Single and Two Phase DC-DC Switch-Mode Power Supplies

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

An Integrated, Dynamically Adaptive Energy-Management Framework for Linear RF Power Amplifiers

AN increasing number of video and communication applications

Research and Design of Envelope Tracking Amplifier for WLAN g

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

CMOS 0.35 µm Low-Dropout Voltage Regulator using Differentiator Technique

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Digital PWM controller with one-bit noise-shaping interface

Class-AB Low-Voltage CMOS Unity-Gain Buffers

Design of DC-DC Boost Converter in CMOS 0.18µm Technology

Digital Control Technologies for Switching Power Converters

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

High-Frequency Digital PWM Controller IC for DC DC Converters

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

Research on Self-biased PLL Technique for High Speed SERDES Chips

High Performance Current-Mode DC-DC Boost Converter in BiCMOS Integrated Circuits

Full-Custom Design Fractional Step-Down Charge Pump DC-DC Converter with Digital Control Implemented in 90nm CMOS Technology

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit

A Multichannel Pipeline Analog-to-Digital Converter for an Integrated 3-D Ultrasound Imaging System

High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications

Design of Pipeline Analog to Digital Converter

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

Second-Order Sigma-Delta Modulator in Standard CMOS Technology

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation

Design of a Sample and Hold Circuit using Rail to Rail Low Voltage Compact Operational Amplifier and bootstrap Switching

NEW WIRELESS applications are emerging where

Revision History. Contents

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

Optimizing the Stage Resolution of a 10-Bit, 50 Ms/Sec Pipelined A/D Converter & Its Impact on Speed, Power, Area, and Linearity

A 100V, 3 Phase Gate Driver with integrated digital PWM Generation and Current Sampling

Dynamically Reconfigurable Sensor Electronics Concept, Architecture, First Measurement Results, and Perspective

A 24 V Chopper Offset-Stabilized Operational Amplifier with Symmetrical RC Notch Filters having sub-10 µv offset and over-120db CMRR

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

CHAPTER 3. Instrumentation Amplifier (IA) Background. 3.1 Introduction. 3.2 Instrumentation Amplifier Architecture and Configurations

High and Low Speed Output Buffer Design with Reduced Switching Noise for USB Applications

10-Bit 5MHz Pipeline A/D Converter. Kannan Sockalingam and Rick Thibodeau

Current Rebuilding Concept Applied to Boost CCM for PF Correction

Low Power Design of Successive Approximation Registers

A 3-10GHz Ultra-Wideband Pulser

Design of Successive Approximation Analog to Digital Converter with Modified DAC

IN RECENT years, low-dropout linear regulators (LDOs) are

Operational Amplifier with Two-Stage Gain-Boost

Low-Power Pipelined ADC Design for Wireless LANs

WITH the rapid evolution of liquid crystal display (LCD)

EL4089 and EL4390 DC Restored Video Amplifier

A Low Power, 8-Bit, 5MS/s Digital to Analog Converter for Successive Approximation ADC

CMOS fast-settling time low pass filter associated with voltage reference and current limiter for low dropout regulator

Transcription:

A MHz Mixed-Signal CPM Controlled DC-DC Converter IC with Novel Gate Swing Circuit and Instantaneous Efficiency Optimization Amir Parayandeh, Behzad Mahdavikkhah, S.M. Ahsanuzzaman, Aleksandar Radic and Aleksandar Prodic Laboratory for Power Management and Integrated SMPS, ECE DepartmentUniversity of Toronto, King s College Road Toronto, ON, M5S 3G4, CANADA E-mail: prodic@ele.utorontoca Abstract This paper introduces a mixed-signal peak currentprogrammed mode controlled MHz dc-dc converter integrated circuit (IC) for low-power applications. The IC combines segmented power transistors, gate drivers and the main functional blocks of a multi-mode controller. Based on the information about the peak transistor current, obtained from the voltage loop, the controller instantaneously changes the number of segments, gate drive voltage, or switches to pulse-frequency modulation, such that for each operating point efficiency is optimized. To obtain reliable operation at such a high switching frequency and achieve efficiency optimization novel architecture of gate swing circuit is combined with modifications of known designs of other functional blocks. Experimental verification of a.6 W buck converter IC, fabricated in a.3 m process, demonstrate the peak efficiency of an 83%, near time-optimal dynamic response, and up to a 2% efficiency improvement due to the action of the efficiency optimization controller. I. INTRODUCTION Small volume and high power processing efficiency over the full operating range are key requirements for on-chip integrated low-power dc-dc switch-mode power supplies (SMPS) used in battery-powered portable applications. To achieve these goals, operation at high switching frequencies combined with efficiency improving multi-mode control is usually preferred. Based on the load conditions, these multimode systems apply techniques such as variation of the number of power switch segments [-3], non-overlapping dead-time adjustment [4], switching between pulse-width and pulse-frequency modulation (PFM) [5], and gate voltage variation [6-8]. Readily available voltage mode controlled SMPS integrated circuits (IC) operating at switching frequencies beyond 4 MHz [9] allow a small volume implementation but are not best suited for the on-line efficiency optimization, due to the highly dynamic nature of the modern loads. In high-frequency (HF) voltage mode SMPS, the efficiency improving on-line mode changes are usually performed in steady state, based on the estimated/measured output current value []. In this way, erroneous mode of operation during transients that can potentially damage the power stage components is avoided. As a consequence, the benefits of the multi-mode operation reduce or, in some cases, completely vanish as the load change frequency increases. To eliminate the problems of voltage mode implementation, a discrete-implementation of mixed-signal peak current-programmed mode (CPM) dc-dc converter that provides instantaneous efficiency optimization was proposed [6]. It utilizes inherently available current loop reference to determine instantaneous current for each switching cycle and, accordingly, sets up the most efficient mode of operation using digital logic. The major drawback of this system and other solutions that implement efficiency optimization techniques [7] is reliability issues related to floating gate of power transistor or large number of transistor segments. Another important drawback of this solution as well as that of integrated mixed-signal [] and analog CPM solutions is significantly smaller switching frequency than that of the voltage-controlled systems. The absence of mixed-signal CPM solutions operating at frequencies comparable to the voltage mode ICs is mostly caused by two unsolved implementation problems. The first problem is related to power consumption of the current sensing circuit reducing the SMPS efficiency. The second is related to the design of a digital-to-analog converter (DAC) for the current loop reference setting. It suffers from a tradeoff between power consumption and accuracy, which affects the output voltage regulation. ON CHIP Vin + _ Pgate Ngate Pgate c2(t) c(t) Ngate Gate-Swing Scaling Circuit c(t) c2(t) Pen[] Programmable Dead-time Pen[2] Pen[3] Pen[4] Pen[5] Pen[6] Pen[7] Nen[] Nen[2] Nen[3] Nen[4] Nen[5] Nen[6] Nen[7] seg_sl<2:> c(t) Q clk S R Pen[7:] Nen[7:] Segment Selector PC Interface Blanking Time Ts vsense(t) cmp + _ vc(t) Charge ic[n] Pump DAC ic[n] Scan Chain Efficiency Optimization Sense FET Current Sensing Circuit L Digital e[n] Compensator PFM_en PFM Controller Fig.:Integrated mixed-signal CPM IC. C Load Windowed ADC ton [5:] vref (t) + vout(t _ clk This work of Laboratory for Power Management and Integrated SMPS is supported by NSERC and Exar Corporation. 978--4577-54-//$26. 2 IEEE 229

The main goal of this paper is to introduce a mixedsignal CPM dc-dc converter IC of Fig. that operates at MHz switching frequency and performs instantaneous efficiency optimization, by dynamically changing modes of its operation over a wide load range. In addition to operating at the switching frequency comparable or even higher than the state of the art voltage mode solutions [9], the presented IC is also able to maintain tight output voltage regulation over a wide range of load currents allowing for the implementation of efficiency improvement techniques. Depending on the load current, the dc-dc converter automatically changes the number of segments of the power transistors, dynamically adjusts power transistor gate voltages, and switches between continuous conduction and pulse frequency mode of operation, to maximize efficiency for any given operating point. As described in the following sections, the high performance of the IC and its efficiency optimization features are obtained by combining novel architectural solutions for the system functional blocks and by modifying previous designs. Namely, the current sensing circuit design is optimized by utilizing advantages of instantaneous power transistor and gate-drive segmentation and a high-resolution current reference adjustment is achieved with a new design of a charge-pump DAC (CP-DAC). Equally important, the IC incorporates a novel gate swing scaling circuit (GSSC) architecture that eliminates the floating gate problem of the previous solutions [6-7] and, at the same time, reduces the need for a high level of transistor segmentation, both of which cause serious reliability problems. II. SYSTEM DESCRIPTION The system of Fig. operates as a modification of mixedsignal peak current program mode controller [], where the voltage loop is digital and the internal current loop is analog. The output voltage error is sampled and converted into its digital equivalent e[n] with a windowed analog-todigital converter (ADC) [2]. Based on the error signal, a digital compensator creates the differential current reference Δi c [n]. This information about upcoming current value is passed to the charge-pump DAC, which sets the limit for the peak inductor current during each switching cycle. The digital compensator also creates the current reference i c [n] that is used as the control signal for the instantaneous efficiency optimization. The operation of the efficiency optimizer can be described by assuming that the load current changes from its maximum to a very low value. At heavy loads all transistor segments of Fig. are active and, as the load reduces the number of segments decreases, so a favorable tradeoff between conduction and switching losses is achieved [2]. For medium-to-light loads only one transistor segment is active and the on-line efficiency optimization is obtained by changing the gate drive voltage, with the GSSC. For even lighter loads the converter switches to pulse-frequency mode of operation further minimizing switching losses. A. Two-Pulse Controlled Charge-Pump DAC (CP-DAC) The charge pump DAC of Fig. operates as the interface between the digital voltage loop and the analog current loop. The application of a CP-DAC for mixed-signal CPM dc-dc converters was proposed in []. Compared to sigma-delta or flash DACs, the CP-DAC allows higher conversion rates without excessive power consumption. The resolution of the previously proposed CP-DAC decreases as the digital input increase. Therefore, it suffers from inherent nonlinearity affecting voltage regulation and the gain of the feedback loop. In the design introduced here, the CP-DAC is modified such that the high resolution is maintained throughout the whole operating range. This modification not only improves the voltage regulation but also allows implementation of fast dynamic response control laws that usually require accurate control signals [3-6]. The CP-DAC of Fig.2 is a modified combination of current-switched DAC and a conventional charge pump circuit[7].it operates as follows: The output voltage, i.e. reference for the current loop, is changed by charging/discharging the output cap C cp, with transistors Q 4 and Q 5 that behave as current sources mirroring the current of the digitally programmable current sink. The amount of charge is regulated by controlling both the charge duration and the current of the sink. In the previously presented design [] both the current and the timing are regulated in relatively crude discrete steps and the charge amount, determined based on the product of the two, is changed in a single pulse. As a consequence, the minimum amount of charge that can be changed in a single pulse and, hence, the quantization step of the DAC reduces as the charging time increases. To overcome this problem, in this modification of the CP-DAC, the amount of charge is modulated in two steps using the timing controller. In the first step, the 4 least significant bits (LSB-s) of the signed input digital signal i c [8:], i.e. i c [3:] are used to set up the current of the clk V out 6xT Pass C cp mux Δi cn [8:] T s Timing controller s Q 4 Q 5 s Δi cn [8] s2 Q 2 Q 3 Δi cn [7:4] mux Vdd Δi cn [3:] s d[3:] Fig.2: CP-DAC Block Diagram. Q d[3:] X I Programmable Current Sink 23

current sink and the charging/discharging is performed over T interval. Then, in the following step, the 4 most significant bits (MSB-s), i c [7:4], are sent to the current sink and during a 6T -long time interval the capacitor charge is changed. As a result, accurate charge control and high resolution of the DAC over the whole range is obtained. As mentioned previously, in addition to i c [n], the digital compensator also creates the current reference i c [n] that is used as the control signal for the instantaneous efficiency optimization. One drawback of CP-DAC architecture [] shown in Fig.2 is that due to mismatches in charging and discharging of output capacitor, the compensator cannot accurately track the i c [n]. This could cause the optimization controller to change modes of operation based on i c [n] that is not a valid representation of instantaneous peak inductor current. To overcome this problem, the current mirrors used in CP-DAC were designed using cascode transistors in order to reduce the mismatches. An additional mismatch compensation factor was incorporated in the digital compensator design based on measurements of CP-DAC circuit. B. Current Sensing In the targeted low-power on-chip integrated converters, SenseFET circuits [8], similar to the one shown in Fig.3, are usually used to create a voltage waveform v sense (t) that accurately replicates the current waveform of the power transistor. To achieve good linearity and accurate measurement over the full range of operation, in V in +_ Sense- FET I sense (t) V sense (t) : K K/8 K/8 K/8 v x(t) Seg_Seg_2 Seg_8 Segmented Power- Transistors and Gate-Drivers Fig.3:Current sensor with segmented power-transitors L C + _ Load conventional implementations without power stage segmentation, a power hungry amplifier with a very large gain-bandwidth (GBW) is usually required [8]. This requirement is related to the varying properties of the sensed voltage across the power MOSFET, v x (t). At light loads, it is usually a small signal requiring a strong amplification and at heavy loads the signal contains significant high frequency content pushing the bandwidth requirements of the amplifier. For the amplifier of Fig.3 the existence of the segmented power transistor is utilized to relax GBW amplifier requirements and, consequently, reduce its power consumption allowing CPM implementation at high switching frequencies. In this case, the range v x (t) variation is reduced, since at light loads the inductor current is passed through a comparatively large resistance. Furthermore, since the signal-to-offset ratio is significantly improved compared to the conventional non-segmented solutions, the amplifier offset requirements are significantly reduced allowing a simpler implementation occupying smaller silicon area. C. Gate Swing Scaling Circuit (GSSC) Segmentation of power transistors and gate-drivers in lowpower SMPS at light-to-medium loads creates a favorable tradeoff between conduction and gate-drive losses improving converter efficiency []. This improvement extends over a wider range of loads as the number of segments increases. However, from the practical point of view, a high level of transistor segmentation causes serious reliability issues. Due to imperfections and timing delays caused by the circuit layout and process, voltage and temperature variation (PVT), switching of some segments and their gate drivers can be significantly delayed causing large amounts of current to pass through a small portion of the transistor and, result in consequent, failures. To minimize the mismatch effect, an alternative solution based on using a smaller number of segments that are simpler to match and gate voltage variation technique also known as, gate swing scaling, are proposed. In the previous art the gate swing technique was implemented using pulsed-controlled drivers [6-7]. The major drawback of these implementations is that the gate of the power transistor is floating during most of the period that it is on. Since there is no low- Swing- Controller Gate-Driver Circuit gssc_level Class AB Driver Stage SL P gate N gate Analog Multiplexer gssc_level Nswing Nswing c(t) N gate Gate signal with adjusted swing s_sig Charge-Controller Logic s_sig OP-AMP gssc_level C = 5 ff Fig.4: a) Top shows the GSSC architecture with waveforms describing its operatio b) Bottom shows the block diagram of Swing- Controller block. 23

impedance path driving the gate of the power transistor, it can easily be disturbed by injection of charge from the outside. A new structure for gate-swing scaling circuit (GSSC) is proposed here to overcome this problem. As shown in Fig.4 the new architecture is based on modifying a conventional gate-driver structure such that a gate voltage signal with programmable swing turns on the power transistors. To achieve this three new blocks i.e., the swingcontroller, the class AB driver stage and an analog multiplexer switch are used in this new architecture. The structure of Fig.4 shows the implementation of GSSC for low-side power transistor and the implementation is equivalent for the high-side transistor. The operation of GSSC can be described by looking at Fig. and Fig.4. The transistor gate can be either driven by the conventional gatedriver or GSSC circuit. When is enabled by the optimization controller, based on the control signal, the gate of the power transistor is either discharged to zero through the gate-driver circuit or charged to gssc_level by the class AB driver stage. The swing-controller, shown in Fig.4.b consists of the charge_controller logic block, and a simple sample and hold circuit. Based on the efficiency optimization control signal, the charge_controller logic controls the amount of charge transferred to capacitor C. It therefore adjusts the gssc_level to one of the 8 possible voltage swing levels. In this way, when the gate of the power transistor turns on, it is continuously held at the voltage gssc_level by the sample and hold circuit and analog class AB driver stage which provide the low-impedance pass to the gate. Therefore the gate of the power transistor is never floating and reliability problems of the previous designs are avoided. Mixed-Signal CPM Controller III. Table I Specifications Value Units CMOS Process.3 µm Area 3.75 mm2 Input Voltage 2.5 V Output Voltage.8-.3 V Rated Load 5 ma Filter L,C 4,.9 nh,µf Switching Frequency MHz Ron Pmos, Nmos.26,.234 Ω Supply Analog, Digital.2, 2.5 V Peak Efficiency 83 % CPM Controller Current 5 µa PFM Controller Current µa Digital Core 2 µa ON-CHIP IMPLEMENTATION AND EXPERIMENTAL RESULTS The integrated mixed-signal CPM of Fig. was fabricated in.3µm technology. The chip micrograph is shown in Fig.5 and Table I summarizes its main specifications. The die measures 2.5.5 mm 2, while the total active area of the mixed-signal CPM controller is.25 mm 2. The total power consumption of the controller is around.45mw in CCM and µ in PFM mode. The efficiency optimization controller of Fig. is implemented on FGPA that is used for testing the mixed-signal CPM IC. The FPGA also programs the scan chain on chip in order to set various configuration signals on IC. A. ADC The transfer characteristic of ADC is shown in Fig.6. The steady-state error bin was created to be around 7mV and other error bins are around 2mV. The ADC has a two bit resolution setting that can be set through the scan chain. This allows increasing the steady-state error bin to 9mV. The outputs of ADC s delay-line are converted to e n [3:] shown Power Stage Input Cap ADC_Clk Segmented Power Transistor and Gate-Driver, GSSC block v ref en[3] en[2] en[] en[] Fig.5: Die Photo of CPM IC Fig 6: The transfer characteristic of ADC. Vref = V. 232

in Fig.6, using a gray encoder block. This way, the error toggles one bit at the time and encoder design is simplified. The ADC current consumption is around 5µA and it achieves conversion rate of 35ns in normal operating conditions. The ADC is operational for.5v < V ref <.8V B. Closed-Loop Response To verify the closed loop response of the converter in CPM and fast response of CP-DAC, Fig.7 shows the results of converter operation with near time-optimal controller[3-6] to a 5mA-45mA load step. The light to heavy load transient is shown in Fig.7.a. Upon detecting the load transient, the CP-DAC sets Δi c [n] to the new calculated peak current value and the main switch is turned on. The inductor current rises until it reaches the new current command value. Subsequently the main switch is turned off and the digital compensator resumes the operation. The controller performs similar operation for heavy to light load transient. As shown in Fig.7 the controller recovers the output voltage to the new steady-state value in 5ns with voltage deviation of about 6mV. C. Efficieny Optimization The efficiency measurements of the system operating at MHz are shown in Fig.8 with the optimization techniques discussed in this paper. While segment adjustment improves efficiency by as much as 3%, i.e. reduces losses by 3%, at medium load currents, GSSC allows for improvements of around 8% (loss reduction of 26%)at light to medium loads. For load currents below 7mA the controller operates the power-stage in PFM mode for additional savings. The operation of GSSC block with converter running in closed-loop is shown in Fig.9. The output of the GSSC block is directly connected to power transistors of the smallest segment as shown in Fig. and Fig.4.a. For the purpose of experimental verification a copy of GSSC block was also implemented on chip. The outputs of this block, P gate (t) and N gate (t) are directly connected to output pads and shown in Fig.9. Before GSSC is enabled both outputs are operating at full swing. It is clear from Fig.9 that the rise time of N gate (t) is larger compared to P gate (t). The GSSC circuit for the low-side transistor is designed with around half the load capacitance of the circuit for the high-side transistor. However in case of Fig.9 both circuits see the same pad capacitance and therefore N gate (t) has a bigger rise time.when GSSC circuit is enabled, is changed randomly and as shown in Fig.9 the transistor gate swing signals are scaled without causing any perturbations in the output voltage. The dynamic operation of the converter with optimization controller is shown in Fig.. Fig.a shows the transient response of the system with a simple PID controller as the load changes from light to heavy. Initially at light load, only one segment is on and the GSSC block is enabled by the optimization controller. When the transient occurs, i c [n] increases with inductor current. Therefore the optimization controller dynamically scales the gate voltages to full swing, turns off the GSSC and turns on all the segments. For the heavy to light transient shown in Fig.b, the controller turns off all the segments except the smallest, turns on GSSC block and reduces the gate voltage swing. As the current command i c [n] reduces below the final load current, the optimization controller scales the gate swings below their final value for a short duration. The operation of the system in PFM mode is shown in Fig.. As the load changes from heavy to light, the optimization controller detects the PFM mode based on the i c [n], disables GSSC block to minimize power consumption and enables the PFM mode. IV. CONCLUSION A mixed-signal peak current-programmed mode controlled MHz dc-dc converter IC has been presented in this work. The IC consists of segmented power transistors and gate drivers, mixed-mode CPM controller and efficiency optimization blocks. An optimization controller, based on inherent current information in control loop, dynamically adjusts the number of segments, scales the gate voltage swing of transistors and switches to PFM mode of operation in order to improve efficiency at different operating points. To obtain reliable operation at such a high switching frequency and achieve efficiency optimization novel = V f s = MHz = V f s = MHz 5 ma 4 ma 4 ma 5 ma Fig.7: a) Light to heavy transient response with time-optimal controller b) Heavy to light load transient response 233

Efficiency (%) 85 8 75 PFM Operation 7 65 6 55 5 45 4 35 With Gate Voltage Swing Adjustment 8% 3% No Optimization 5 5 5 Output Current (ma) With Segment Adjustment Vin =2.5 V Vout = V f s = MHz Light Load Medium Load Full Load Fig.8: Efficiency measurements of Mixed-Signal IC P gate (t) N gate (t) gssc_sl <2:> = V f s =8 MHz Fig.9: The operation GSSC block with converter in closed loop = V f s =8 MHz 2mA ma ma 4mA seg_sl<2:> = V f s =8 MHz seg_sl<2:> Fig.: a) Operation of the optimization controller during light to heavy transient response with PID controller b) Operation of the optimization controller during heavy to light transient response PFM_en 9mA 7mA Fig : Operation of the system in PFM mode architecture of gate swing circuit is combined with modifications of known designs of other functional blocks. Experimental verification of a.6 W, MHz buck converter IC, fabricated in a.3 µm process, demonstrate the peak efficiency of 83%, near time-optimal dynamic response, and up to 2% efficiency improvement due to the action of the efficiency optimization controller. ACKNOWLEDGMENT seg_sl<2:> The authors would like to thank Canadian Microelectronics Corporation (CMC), National Sciences and Engineering Research Council of Canada (NSERC) for their support and Prof. Olivier Trescases for many useful discussions and feedbacks. 234 REFERENCES [] O. Trescases, W. Ng, H. Nishio, E. Masaharum, and T. Kawashima, A digitally controlled DC-DC converter module with a segmented output stage for optimized efficiency, in Proceedings, IEEE ISPSD Conf, Sept 26. [2] A. Parayandeh,C. Pang, A. Prodić, Digitally Controlled Low-Power DC-DC Converter with Instantaneous On-Line Efficiency Optimization, in Proc. IEEE APEC Conf, March 29. [3] S. Musuniri and P. Chapman, Improvement of light load efficiency using width-switching scheme for CMOS transistors, Power Electronics Letters, vol. 3, no. 3, pp. 5, 25. [4] V. Yousefzadeh, and D. Maksimovic, Sensorless optimization of dead times in DC-DC converters with synchronous rectifiers, in IEEE Trans. on Power Electronics, July 26. [5] J. Xiao, A. Peterchev, J. Zhang, S.R. Sanders, A 4- A quiescentcurrent dual-mode digitally controlled buck converter IC for cellular phone applications, IEEE Jour. Solid-State Circ. Vol. 39, pp. 2342-2348, Dec. 24. [6] A. Parayandeh, A. Prodić, Digitally Controlled Low-Power DC-DC Converter with Segmented Output Stage and Gate Charge Based Instantaneous Efficiency Optimization, in Proc. IEEE ECCE Conf, Sep 29. [7] M. Mulligan, B. Broach, and T. Lee, A constant frequency method for improving light-load efficiency in synchronous buck converters, Power Elec. Letters, vol. 3, pp. 24 29, March 25. [8] V.Kursun, S.G. Narendra, V.K.De, and E.G Friedman, Lowvoltage-swing monolithic dc-dc conversion, IEEE Transactions on Circuits and Systems-II:Express Briefs, vol.5, no. 5, pp.24-248, May 24. [9] Datasheet Ep5352q/ep5362q/ep5382q-5/6/8 ma Synchronous Buck Regulators With Integrated Inductor, Enpirion, 26. [] O. Trescases, A. Prodic, and W. T. Ng, Digitally controlled currentmode DC-DC converter IC, in IEEE Trans. on Circ. and Sys., Jan. 2.

[] O. Trescases, Z. Luki c, W.-T. Ng, and A. Prodi c, A low power mixed-signal currentmode DC-DC converter using a one-bit delta sigma DAC, in Proc. IEEE APEC Conf, 26, pp. 7 74. [2] A. Parayandeh, A. Prodić, "Programmable Analog-to-Digital Converter for Low-Power DC-DC SMPS," IEEE Tran. on Power Elect. Jan. 28. [3] G. Feng, E. Meyer, and Y.-F. Liu, A new digital control algorithm to achieve optimal dynamic performance in DC-to-DC converters, IEEE Trans. Power Electron., vol. 22, pp. 489 498, July 27. [4] Zhenyu Zhao and A. Prodic, Continuous-time digital controller for high-frequency DC-DC converters, IEEE Trans. Power Electron., vol. 23, pp. 564 573, Mar. 28 [5] V. Yousefzadeh, A. Babazadeh, B. Ramachandran, E. Alarcon, L. Pao, and D. Maksimovic, Proximate time-optimal digital control for synchronous buck DC-DC converters, IEEE Trans. Power Electron., July 28. [6] Alico, A. Prodic, Multiphase Optimal Response Mixed-Signal Current-Programmed Mode Controller, Proc, IEEE APEC 2. [7] D. Johns, K.C. Martin, Analog Integrated Circuit Design, st edition, John Wiley & Sons, 997 [8] C. Lee, and P. Mok, A monolithic current-mode CMOS DC DC converter with on-chip current-sensing technique, in IEEE JSSC. vol. 39, 24. 235