Resistive Switching Memory in Integration

Similar documents
64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications

In pursuit of high-density storage class memory

RRAM for Future Memory and Computing Applications

Lecture #29. Moore s Law

Fabrication and Characterization of Emerging Nanoscale Memory

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor

Analog Synaptic Behavior of a Silicon Nitride Memristor

INVESTIGATION OF RESISTIVE SWITCHING AND CONDUCTION MECHANISMS IN OXIDE-BASED RRAM DEVICE FOR EMERGING NONVOLATILE MEMORY APPLICATIONS

SUPPLEMENTARY INFORMATION

Nanoscale switching in resistive memory structures

Binary Neural Network and Its Implementation with 16 Mb RRAM Macro Chip

Trends in the Development of Nonvolatile Semiconductor Memories

Simplified ZrTiO x -based RRAM cell structure with rectifying characteristics by integrating Ni/n + -Si diode

HI-201HS. Features. High Speed, Quad SPST, CMOS Analog Switch. Applications. Ordering Information. Pinout (Switches Shown For Logic 1 Input) FN3123.

SiGe epitaxial memory for neuromorphic computing with reproducible high performance based on engineered dislocations

Solid State Devices- Part- II. Module- IV

Barrier Engineering. Flash Memory. Rich Liu Macronix International Co., Ltd. Hsinchu, Taiwan, R.O.C. 1/ A*STAR/SRC/NSF Memory Forum

Chalcogenide Memory, Logic and Processing Devices. Prof C David Wright Department of Engineering University of Exeter

Architecture of Computers and Parallel Systems Part 9: Digital Circuits

Mixed Ionic Electronic Conduction (MIEC) based Access Devices for 3-D Crosspoint Memory

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2

Power MOSFET Zheng Yang (ERF 3017,

MAGNETORESISTIVE random access memory

Gallium nitride (GaN)

2014, IJARCSSE All Rights Reserved Page 1352

A Differential 2R Crosspoint RRAM Array with Zero Standby Current

Fundamentals of Power Semiconductor Devices

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric

FIG. 1: (a) Schematic of the device showing the material stack and relative thickness of each layer. (b) I-V switching characteristics of the device.

DATASHEET HI-201HS. Features. Applications. Ordering Information. Pinout (Switches Shown For Logic 1 Input) High Speed, Quad SPST, CMOS Analog Switch

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

SneakPath compensation circuit for programming and read operations in RRAM-based CrossPoint architectures

A Survey of Cross Point Phase

Nano-device and Architecture Interaction in Machine/deep Learning

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Simulation and Analysis of CNTFETs based Logic Gates in HSPICE

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate

MTLE-6120: Advanced Electronic Properties of Materials. Semiconductor transistors for logic and memory. Reading: Kasap

Tunneling Field Effect Transistors for Low Power ULSI

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

Journal of Electron Devices, Vol. 20, 2014, pp

Modeling and Design Analysis of 3D Vertical Resistive Memory - A Low Cost Cross-Point Architecture

Status and Prospect for MRAM Technology

Reconfigurable Si-Nanowire Devices

HI-201HS. High Speed Quad SPST CMOS Analog Switch

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Impact of Basal Plane Dislocations and Ruggedness of 10 kv 4H-SiC Transistors

Supplementary Materials for

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Improved Switching Characteristics Obtained by Using High-k Dielectric Layers in 4H-SiC IGBT: Physics-Based Simulation

I-V Characteristics of Al/HfO2/TaN RRAM Devices

The challenges of configurable hybrid Memristor-CMOS Integrated circuits fabrication

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

III-Nitride microwave switches Grigory Simin

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology

5.0 V-Only Flash Memory Negative Gate Erase Technology

Energy and Performance Driven Circuit Design for Emerging Phase-Change Memory

Supporting Information

Esaki diodes in van der Waals heterojunctions with broken-gap energy band alignment

Chapter 15 Summary and Future Trends

Lecture 0: Introduction

Advanced Structures and New Detection Methods for Future High Density Non-volatile Memory Technologies

EE 330 Lecture 12. Devices in Semiconductor Processes. Diodes

420 Intro to VLSI Design

Breaking Through Impenetrable Barriers

Fundamentals of CMOS Image Sensors

STT-MRAM Read-circuit with Improved Offset Cancellation

VARIABILITY, ENDURANCE AND NOVEL APPLICATIONS OF RESISTIVE SWITCHING DEVICES

ACURRENT reference is an essential circuit on any analog

Integrated diodes. The forward voltage drop only slightly depends on the forward current. ELEKTRONIKOS ĮTAISAI

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Future MOSFET Devices using high-k (TiO 2 ) dielectric

FinFET-based Design for Robust Nanoscale SRAM

The Efficacy of Programming Energy Controlled Switching in Resistive Random Access Memory (RRAM)

Non-Volatile Memory Based on Solid Electrolytes

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

3D Vertical Dual-Layer Oxide Memristive Devices for Neuromorphic Computing

Ambipolar electronics

Quad SPST JFET Analog Switch SW06

74AC20M DUAL 4-INPUT NAND GATE

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator

AS THE semiconductor process is scaled down, the thickness

Lecture Notes 5 CMOS Image Sensor Device and Fabrication

55:041 Electronic Circuits

Some Key Researches on SiC Device Technologies and their Predicted Advantages

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS

Embedded System Design and Synthesis. Transition. Evolution of computation. Two major sources of changing problems. Impact of scaling on delay

In-Line-Test of Variability and Bit-Error-Rate of HfO x -Based Resistive Memory

EMT 251 Introduction to IC Design

Design of Optimized Digital Logic Circuits Using FinFET

Chapter 1. Introduction

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials

INTRODUCTION: Basic operating principle of a MOSFET:

Transcription:

EDS Mini Colloquim WIMNACT 39, Tokyo Resistive Switching Memory in Integration Ming Liu Institute of Microelectronics, CAS Feb.7, 2014

Outline Motivation RRAM Integration Self-Rectifying RRAM 1D1R Integration 1k HfO 2 based RRAM Test Chip Summary

Flash Memory Concepts proposed by D. Kahng and S. M. Sze, Bell Lab, 1967 Kahng and S. M. Sze, Bell Systems Technical Journal 46 (1967) 1288. Uses Fowler-Nordheim tunneling to erase the memory Uses CHE or FN to program the memory Total Semiconductor market : 300 B US$ in 2011; Memory occupied 23.9% semiconductor market. 3

Flash Integration Flash - E 2 PROM (NOR Type) Control Gate Floating Gate Select Gate SG (D) NAND - E 2 PROM Control Gate Select Gate WL1 WL2 WL3 WL14 WL15 WL16 SG (S) Drain Bit Line WL1 WL2 Source Basic unit Drain Bit Line SG (D) WL1 WL2 WL3 WL4 Basic unit Source WL3 WL16 WL14 WL15 WL16 SG (D) 1 Transistor / 1 Bit 18 Transistor / 32 Bit NOR Type Flash: High Speed,Random Access per bit, Code Storage NAND Type Flash : High Density,Block Access, Data Storage

Challenges of Flash scaling down Crosstalk effect Low No. of electron Leakage current Physical limitations exist! leakage current High voltage operations Charge storage requirements of the dielectrics and reliability issues Slow writing speed

3D Flash Architecture Vertical structure

1 st Mass Production of 3D VNAND

Integration Trend of Memory 3D integration is the mainstream to enhance the storage density of memory. 3D RRAM is one of the most promising candidates of Flash memory.

Outline Motivation RRAM Integration Self-Rectifying RRAM 1D1R Integration 1k HfO 2 based RRAM Test Chip Summary

What is RRAM? MIM: resistive switching under electric field Bipolar switching Unipolar switching Advantages of RRAM: Simple device structure(mim) Good compatibility with CMOS process Easy scaling down to 8 nm Large on/off ratio (10 3 ~10 6 ) Fast operating speed(~ns) Good endurance (>10 6 ) Good retention (>10years)

Opportunities for RRAM Expected RRAM specs Required memory specs Working memory Embedded NVM Stand-alone NVM Working memory Embedded NVM Stand-alone NVM RRAM RRAM is not suitable for working memory, but quite competitive for embedded and stand-alone NVM application.

RRAM Integration: Active or Passive Array Active 6~8F 2 Ref. A. Chen, et al., IEDM 2005, pp. 765-768. Passive 4F 2 Ref. ITRS 2010. Passive crossbar array structure is the best choice for high storage density application!

3D RRAM Integration 2D RRAM crossbar 4F 2 3D RRAM crossbar 4F 2 /n Passive crossbar array structure is the good choice for high storage density application! Ref. ITRS 2010.

Sneaking Current in RRAM Integration Vread Open Vread Open HRS HRS HRS LRS V=0 V=0 HRS HRS LRS LRS Open Open When reading a HRS cell, if the surrounded cells are all in HRS, the reading is correct. If some surrounded cells is in LRS and form a sneak current path, the HRS cell will be misread as LRS.

How to Suppress Sneaking Current (1). 1D1R solution (2). 1S1R 1E-3 (3). Self- Rectifying Current (A) 1E-5 1E-7 200x 20x bit 1 bit 0 Ref. I. G. Baek, et al., IEDM 2005; B. Cho, et al.,adv. Mater. 2009 1E-9-3 -2-1 0 1 2 Voltage (V)

How to Suppress Sneaking Current Solutions Schematic of the crosstalk effect 1R: RRAM with self-rectifying effect 1 Selector + 1 RRAM Requirements of Selector: Asymmetric I-V curve High current density (J selector >J reset,rram ) High rectifying ratio or nonlinear factor Compatible with CMOS Low fabrication temperature (<400 set by the copper BEOL)

Outline Motivation RRAM Integration Self-Rectifying RRAM 1D1R Integration 1k HfO 2 based RRAM Test Chip Summary

RRAM with (Au/ZrO 2 :Au/n+-Si) Au Au NC ZrO 2 10-3 2 3 n + -Si Device structure Current (A) 10-5 10-7 10-9 Set 1 4 Reset TEM image of the device 10-11 -4-2 0 2 4 Voltage (V) Typical I-V characteristics of the Au/ZrO 2 :nc-au/n+ Si device, it showed low switch voltage and producible set and reset process. Q Zuo, et al., J. Appl. Phys., 106, 073724 (2009).

RRAM with (Au/ZrO 2 :Au/n+-Si) 10-4 10 9 Current (A) 10-6 10-8 LRS @0.5 V HRS @0.5 V Resistance ( ) 10 7 10 5 LRS @0.5 V HRS @0.5 V 10-10 10 3 0 25 50 75 100 10 0 10 1 10 2 10 3 Time (s) Cycle (#) The Au/ZrO 2 :Au/n+-Si device demonstrated very good cycling and retention characteristics. Q Zuo, et al., J. Appl. Phys., 106, 073724 (2009).

Self-rectifying Characteristics 10-3 10-4 Current (A) 10-5 10-7 700 Current (A) 10-5 10-6 10-7 10-8 @ 0.5 V @ -0.5 V 10-9 -1.0-0.5 0.0 0.5 1.0 Voltage (V) 10-9 0 50 100 Switching number The Au/ZrO 2 :Au/n+ Si has a very good rectifying characteristics at LRS. I on /I off ratio is 700. After 100 cycling, its rectifying characteristics is still keeping very well. Q Zuo, et al., J. Appl. Phys., 106, 073724 (2009).

Comparison in 2 2 Array Resistance ( ) 10 4 10 3 10 2 Ron in sigle cell Roff in sigle cell Ron without rectifying Roff without rectifying Ron with rectifying Roff with rectifying 10 1 A B C Group A: HRS and LRS of Single device; Group B: HRS and LRS of 2 2 array without rectifying; Group C: HRS and LRS of 2 2 array with rectifying 0 2 4 6 8

Self-Rectifying RRAM for WORM Application Resistance ( ) 10 11 10 9 10 7 10 5 10 3 After PRG Before PRG 10 1 10 0 10 1 10 2 10 3 10 4 10 5 Time (s) Cumulative Probability (%) 100 80 60 40 20 0 Area 200x200 m 2 Read @ 1V After PRG Before PRG x10 6 10-10 10-8 10-6 10-4 10-2 Current (A) Read @ 1 V Large rectifying ratio (>10 4 ) Data retention Uniformity of the states before and after program R HRS /R LRS >10 6 High uniformity Long retention time IEEE Electron Device Letters, 2010, 29, 43 US Patent 2012/0140543 Al

Self-Rectifying Mechanism Ag CF Ag Ag n + -Si HfO 2 Based on the TEM results, we demonstrated that the CF composition in the oxideelectrolyte-based RRAM mainly consists of the electrode materials when using Cu, Ag or Ni as electrode. By using semiconductor as another electrode, RRAM with self-rectifying effect can be obtained, and the rectifying characteristics is controlled by metal and semiconductor electrodes. Adv. Mater. 24, 1844, 2012

The Role of Silicon for Self-Rectifying RRAM n + -Si/a-Si/Ag n + -Si/ZrOx/Pt NiSi/HfOx/TiN APL 2009 JAP 2009 VLSI 2011 Metallic property of conductive filaments Ohmic contact with metal electrode No rectifying characteristics. Highly doped Si is generally needed to guarantee a Schottky contact of CF with c-si. Crystal Si is not expected for 3D integration.

A-Si to achieve Self-rectifying RRAM Pt TE BE 4200-SCS DC bias/control Why a-si? WO3 a-si Cu SiO2 Si(100) Pt WO3 a-si Cu 100 nm Requirements of low fabrication temperature (<400 set by the copper BEOL) for 3D integration..cmos compatible;. Low temperature fabricate;. Cheap;. well controlled process;

Memory Characteristics Current (A) 10-4 RESET 10-5 10-6 10-7 10-8 10-9 SET @ 25 o C 1st cycle after 10 3 pulses after 10 6 pulses -2-1 0 1 2 3 Voltage (V) The device exhibits bipolar switch behavior, there is 20 times window between HRS and LRS; Very excellent stability, even after 10 3 and 10 6 switch pulses, there is still no obvious shift.

Uniformity of DTD and CTC Resistance ( ) 10 7 10 6 10 5 10 4 HRS 10x window LRS C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 Voltage (V) 4 3 2 1 0-1 -2-3 -4 SET Voltage RESET Voltage C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 Excellent switching uniformity in cycle-to-cycle and device-to-device. (data were collected from 100 switching cycles in random selected 10 cells) IEEE Electron Device Letters, 2013, 34, 229 International Memory Workshop, 2013 Patent NO. 201210311109.8

Programming Speed and Cycling 10 8 Temp=25 10 8 Temp=25 Resistance ( ) 10 7 10 6 HRS(bit 0 ) LRS(bit 1 ) Resistance ( ) 10 7 10 6 HRS(bit 0 ) LRS(bit 1 ) 1E-8 1E-7 1E-6 1E-5 P/E pulse width (sec) 10 0 10 2 10 4 10 6 10 8 10 10 10 12 P/E cycles (#) Very fast switching speed, 30 ns both for write and erase; Endurance is more than 10 9 switching cycles. IEEE Electron Device Letters, 2013, 34, 229 International Memory Workshop, 2013 Patent NO. 201210311109.8

Self-Rectifying Characteristics Obvious rectifying characteristics for both HRS and LRS after forming, rectify ratio is 200; Excellent reliability and reproducibility. IEEE Electron Device Letters, 2013, 34, 229 International Memory Workshop, 2013 Patent NO. 201210311109.8

Origin of Self-Rectifying Behavior Current (A) 10 0 10-2 10-4 10-6 10-8 10-10 10-12 R LRS ~10 5 Ω@0.5V Vf~3.2 V If~10-4 A Pt WO3 V Rini~10 9 Ω@0.5V WCu -3-2 -1 0 1 2 3 4 Voltage (V) Current (A) 10 0 10-2 10-4 10-6 10-8 10-10 10-12 R LRS ~10 5 Ω@0.5V Vf~6.5 V If~10-4 A Pt WO3 V a-si Rini~10 9 Ω @0.5V Cu -4-2 0 2 4 6 8 Voltage (V) Current (A) 10-1 10-3 10-5 10-7 10-9 R LRS <10 2 Ω@0.5V Vf~7 V If>10-3 A Pt a-si V Rini<10 6 Ω@0.5V Cu -2-1 0 1 2 3 4 5 6 7 8 Voltage (V) Both of Cu/WO 3 /Pt and Cu/a-Si/Pt control sample show symmetrical I-V. Rini of Cu/WO 3 /Pt is three orders higher than that of Cu/a-Si/Pt, same with Pt/WO 3 /a-si/cu device, The WO 3 layer is switched into LRS after forming, while the a-si layer still keeps in HRS. The rectifying property of Cu/a-Si/WO 3 /Pt device is from the Schottky contact of CF in WOx with a-si.

Mechanism of Resistive Switching The process of O 2- ions trapping andde-trapping on the vacancy site is attributed to the switching behavior. Filament composed of oxygen vacancy is formed in SET process. The recombination of O 2- ions with vacancy corresponds to the RESET process.

Comparison of Various Technologies The self-rectifying RRAM is a promising candidate for high density application.

Outline Motivation RRAM Integration Self-Rectifying RRAM 1D1R Integration 1k HfO 2 based RRAM Test Chip Summary

Comparison of Endurance and Reset Current in unipolar and bipolar RRAM 10 12 Ref [7]: Ta 2 O 5 /TiO 2 Ref [8]: HfO x Endurance cycles 10 10 10 8 10 6 Bipolar RRAM devices: High endurance Low reset current Ref [5]: Ta 2 O 5 /TaO x Ref [6]: TiO x /HfO x Ref [5]: ZrO x /Ta 2 O 5 /AlO Ref [8]: HfO x /AlO y Unipolar RRAM devices: Low endurance High reset current 10 4 0.0 0.1 0.2 0.4 0.6 0.8 1.0 Reset current (ma) Most 1D-1R integration only suitable for Unipolar RRAM. [7] Y. Sakotsubo, et al., VLSI, p. 87, 2010. [8] X.A. Tran, et al., VLSI, p. 44, 2011.

Bipolar 1D-1R Integration Diode: Ni/TiO x /Ti RRAM: Pt/HfO 2 /Cu Y. T. Li, et al., Nanoscale, 5, 4785 (2013).

Diode and bipolar RRAM characteristics (a) Typical I-V characteristics of Ti/TiO x /Ti and Ni/TiO x /Ti devices. (b) Bipolar resistive switching characteristic of the Pt/HfO 2 /Cu RRAM cell. Y. T. Li, et al., Nanoscale, 5, 4785 (2013).

Bipolar Resistive Switching of 1D1R Integration The 1D-1R device exhibits bipolar switching characteristic, a selfcompliance behavior can be achieved during the set process; After 100 DC cycling, its bipolar switching characteristics is still keeping very well. Y. T. Li, et al., Nanoscale, 5, 4785 (2013).

Uniformity and Retention Bipolar 1D-1R demonstrated very good uniformity and retention characteristics.

Programming Speed Voltage (V) 4 3 2 1 0-1 -2-3 Read V1 RRAM OSC Diode V2 Read Rs Reset pulse 100ns/+3V Read HRS Transition from Transition from HRS to LRS LRS to HRS again Set pulse 100ns/-2.5V 0 1 2 3 4 5 6 7 Time ( s) V1 V2 Fast switching speed, 100 ns both for write and erase.

Multi-level storage and low power consumption Current (A) 10-1 10-3 10-5 10-7 10-9 V set =-1.5V V set =-2V V set =-2.5V V reset, I reset @-2.5V V reset, I reset @-2V V reset, I reset @-1.5V -2-1 0 1 2 3 Voltage (V) Obvious Multi-level storage can be realized by controlling different voltage during the SET process (V set ) ; Reset current (ma) I reset is found to reduce with the decrease of V set. 10 8 6 4 2 0 AVR: average value STD: standard deviation AVR=0.55mA STD=0.21mA V set =-1.5V AVR=3.17mA STD=1.39mA V set =-2V AVR=9.22mA STD=0.98mA V set =-2.5V

Scalability of Ni/TiOx/Ti Selecting Diode The forward current density is over 10 4 A/cm 2 at 1V for 2 2 μm 2 active area; An even higher forward current density over 10 6 A/cm 2 is expected from a smaller area of 100 100 nm 2. Y. T. Li, et al., Nanoscale, 5, 4785 (2013).

Comparison References RRAM RRAM Type Diode Diode Type Compliance current This work Cu/HfO 2 /Pt Bipolar W/TiO x /Ni Schottky Self-compliance [1] Pt/NiO/Pt Unipolar Pt/CuO/IZO/Pt p-n junction External current limiter [2] Pt/NiO/Pt Unipolar Pt/CuO/IZO/Pt p-n junction GIZO transistor [3] Pt/TiO x /Pt Unipolar Pt/TiO x /Pt Schottky External current limiter [4] Pt/ZnO/Pt Unipolar Pt/NiO/ZnO/Pt p-n junction External current limiter [4] Pt/ZnO/Pt Unipolar Pt/WO 3 /ZnO/Pt Tunnel barrier External current limiter 1D1R structure generally can only use unipolar RRAM device, but the bipolar RRAM has superior performance than unipolar RRAM. This work is a first demonstration of a 1D1R structure using bipolar RRAM and Schottky diode.

Outline Motivation RRAM Integration Self-Rectifying RRAM 1D1R Integration 1k HfO 2 based RRAM Test Chip Summary

1kb RRAM Test Chip Demo RRAM cell Integration solution Cell_fail Write_fail 端口信号线 内部控制信号线 ready clk rst trigger operation Control unit Write_trigger P/E read Write_finish readdata writedata Latch_addr read readout SA trigger P/E read finish WD WD_ref WD 数据线 模拟信号 SA_ref SA data Latch read SA_ref SA WD_ref WD P/E DMA_port1 DMA DMA ARRAY DMA_port2 addr DMA_wlv Address[9:0] Latch Rreadref1 Rreadref2 Rprogramref1 Rprogramref2 Reraseref1 Reraseref2 Periphery circuit design 1kb RRAM test chip

Device Structure and Bipolar Switching (c) BL Table. 1 M5 V4 M4 V3 M3 V2 M2 V1 M1 CT 500 nm D TE HfO 2 4 nm Cu 5 nm G S RRAM WL SL Current (A) 400.0u 200.0u 0.0-200.0u -400.0u -600.0u Operation V BL V SL V WL Forming SET RESET SET GND GND + + + GND Icc RESET -2-1 0 1 2 3 Voltage (V) + + ++

1kb RRAM Test Chip Demo Layout outlook Data writing

Summary RRAM with crossbar architecture attracts significant interests due to its excellent scalability and 3D integration for high-density application. The big challenge of this structure is how to eliminate crosstalk issue. Self-rectifying RRAM and RRAM integration with 1D1R architecture can suppress crosstalk leakage greatly. RRAM with excellent memory performance and good reproducibility are demonstrated with a self-rectifying characteristics, which can suppress crosstalk leakage greatly. Bipolar 1D-1R devices also exhibits good features in uniform switching, satisfactory data retention, fast speed, as well as excellent scalability. HfO 2 based 1k test chip was demonstrated.

Thanks for your attention!