Comparative Study of PLL, DDS and DDS-based PLL Synthesis Techniques for Communication System

Similar documents
Phase-Locked Loops (PLL)

MAX3610 Synthesizer-Based Crystal Oscillator Enables Low-Cost, High-Performance Clock Sources

Frequency Calibration of A/D Converter in Software GPS Receivers

Chapter Introduction

CHAPTER 2 WOUND ROTOR INDUCTION MOTOR WITH PID CONTROLLER

DESIGN OF SECOND ORDER SIGMA-DELTA MODULATOR FOR AUDIO APPLICATIONS

REAL-TIME IMPLEMENTATION OF A NEURO-AVR FOR SYNCHRONOUS GENERATOR. M. M. Salem** A. M. Zaki** O. P. Malik*

SIMULINK for Process Control

HIGH VOLTAGE DC-DC CONVERTER USING A SERIES STACKED TOPOLOGY

Self-Programmable PID Compensator for Digitally Controlled SMPS

Active vibration isolation for a 6 degree of freedom scale model of a high precision machine

Position Control of a Large Antenna System

Simulation and Modeling of Fractional-N sigma delta PLL for Quantisation Noise Optimisation

Digital Control of Boost PFC AC-DC Converters with Predictive Control

Active Harmonic Elimination in Multilevel Converters Using FPGA Control

DSP-Based Control of Boost PFC AC-DC Converters Using Predictive Control

DIGITAL COMMUNICATION

Previous lecture. Lecture 5 Control of DVD reader. TheDVD-reader tracking problem. Can you see the laser spot?

Analysis. Control of a dierential-wheeled robot. Part I. 1 Dierential Wheeled Robots. Ond ej Stan k

Research on Control Technology of Permanent Magnet Synchronous Motor Based on Iterative Algorithm Liu Yangyang 1c, Yang Guang 2b, Zou Qi 1c,

AN EVALUATION OF DIGILTAL ANTI-ALIASING FILTER FOR SPACE TELEMETRY SYSTEMS

An FM signal in the region of 4.2 to 4.6

Review of D-STATCOM for Stability Analysis

Produced in cooperation with. Revision: May 26, Overview

Control Method for DC-DC Boost Converter Based on Inductor Current

Design and Performance Comparison of PI and PID Controllers For Half Bridge DC-DC Converter

SINGLE-PHASE ACTIVE FILTER FOR HIGH ORDER HARMONICS COMPENSATION

A Frequency Agility Synthesizer with Low Phase Noise for Fully Electronic Millimeter Wave Imaging

A Feasibility Study on Frequency Domain ADC for Impulse-UWB Receivers

IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 11, 2016 ISSN (online):

PULSEWIDTH CONTROL WITH DELAY LOCKED LOOP

Basic Study of Radial Distributions of Electromagnetic Vibration and Noise in Three-Phase Squirrel-Cage Induction Motor under Load Conditions

Available online at ScienceDirect. Procedia Technology 17 (2014 )

Tasks of Power Electronics

Experiment 8: Active Filters October 31, 2005

Gemini. The errors from the servo system are considered as the superposition of three things:

Comm 502: Communication Theory. Lecture 5. Intersymbol Interference FDM TDM

A Multi-Machine Power System Stabilizer Using Fuzzy Logic Controller

New Resonance Type Fault Current Limiter

LCL Interface Filter Design for Shunt Active Power Filters

Lab 7 Rev. 2 Open Lab Due COB Friday April 27, 2018

RESEARCH ON NEAR FIELD PASSIVE LOCALIZATION BASED ON PHASE MEASUREMENT TECHNOLOGY BY TWO TIMES FREQUENCY DIFFERENCE

Design, Realization, and Analysis of PIFA for an RFID Mini-Reader

DVCC Based K.H.N. Biquadratic Analog Filter with Digitally Controlled Variations

Improving the Regulatory Response of PID Controller Using Internal Model Control Principles

MM6 PID Controllers. Readings: Section 4.2 (the classical three-term controllers, p except subsection 4.2.5); Extra reading materials

Efficiency and Damping Control Evaluation of a Matrix Converter with a Boost-up AC Chopper in Adjustable Speed Drive System

MEMS-FABRICATED GYROSCOPES WITH FEEDBACK COMPENSATION

Constant Switching Frequency Self-Oscillating Controlled Class-D Amplifiers

Control of Time-Delay Systems by Means of Modified Smith Predictors: A Simple Matlab Toolbox

V is sensitive only to the difference between the input currents,

Sloppy Addition and Multiplication

UNIVERSITY OF SASKATCHEWAN EE456: Digital Communications FINAL EXAM, 9:00AM 12:00PM, December 9, 2010 (open-book) Examiner: Ha H.

Subcarrier exclusion techniques

Control of Electromechanical Systems using Sliding Mode Techniques

Experiment 4: Active Filters

Robust Control of an Active Suspension System Using H 2 & H Control Methods. Fatemeh Jamshidi 1, Afshin Shaabany 1

MIMO Systems: Multiple Antenna Techniques

Synthetic aperture radar raw signal simulator for both pulsed and FM-CW modes

A Simple DSP Laboratory Project for Teaching Real-Time Signal Sampling Rate Conversions

Method to Improve Range and Velocity Error Using De-interleaving and Frequency Interpolation for Automotive FMCW Radars

Improved Selective Harmonic Elimination for Reducing Torque Harmonics of Induction Motors in Wide DC Bus Voltage Variations

Resonant amplifier L A B O R A T O R Y O F L I N E A R C I R C U I T S. Marek Wójcikowski English version prepared by Wiesław Kordalski

A SIMPLE HARMONIC COMPENSATION METHOD FOR NONLINEAR LOADS USING HYSTERESIS CONTROL TECHNIQUE

A Novel Engine Generator System with Active Filter and UPS Functions

CONTROL OF COMBINED KY AND BUCK-BOOST CONVERTER WITH COUPLED INDUCTOR

Digitally Programmable Voltage Mode Quadrature Oscillator Using Current Conveyors

SETTING UP A GRID SIMULATOR A. Notholt 1, D. Coll-Mayor 2, A. Engler 1

Optimal Control for Single-Phase Brushless DC Motor with Hall Sensor

Time-Domain Coupling to a Device on Printed Circuit Board Inside a Cavity. Chatrpol Lertsirimit, David R. Jackson and Donald R.

Design of a digitally-controlled LLC resonant converter

A CONTROL STRATEGY FOR PARALLEL VOLTAGE SOURCE INVERTERS

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT)

Digital joint phase and sampling instant synchronisation for UMTS standard

Comparison Study in Various Controllers in Single-Phase Inverters

A 77 GHz 3-Stage Low Noise Amplifier with Cascode Structure Utilizing Positive Feedback Network using 0.13 μm CMOS Process

Voltage Analysis of Distribution Systems with DFIG Wind Turbines

Third-Order Voltage-Mode Quadratrue Oscillator Using DDCC and OTAs

The Performance Analysis of MIMO OFDM System with Different M-QAM Modulation and Convolution Channel Coding

Chapter 5 CONTROL OF CASCADED-MULTILEVEL CONVERTER-BASED STATCOM. 5.1 Control Analysis and Design

Switched Capacitor Converter fed SRM Drive with Power Factor Correction

Francisco M. Gonzalez-Longatt Juan Manuel Roldan Jose Luis Rueda. Line 5: City, Country

AC : TEACHING DIGITAL FILTER IMPLEMENTATIONS US- ING THE 68HC12 MICROCONTROLLER

A Programmable Compensation Circuit for System-on- Chip Application

Typical wireless DSP system. Lecture 2 Data Conversion. Typical hard disk DSP system. Typical PCM voiceband DSP system.

FUZZY Logic Based Space Vector PWM Controlled Hybrid Active Power Filter for Power Conditioning

Modulation Extension Control for Multilevel Converters Using Triplen Harmonic Injection with Low Switching Frequency

SCK LAB MANUAL SAMPLE

The Cascode and Cascaded Techniques LNA at 5.8GHz Using T-Matching Network for WiMAX Applications

EELE Lecture 11 Filter example, Bandwidth definitions and BPSK example

Modeling and Simulation of Digital Filter Jie Zhao

Fixed Structure Robust Loop Shaping Controller for a Buck-Boost Converter using Genetic Algorithm

EEEE 480 Analog Electronics

Optimized BER Performance of Asymmetric Turbo Codes over AWGN Channel

Hardware-in-the-loop tuning of a feedback controller for a buck converter using a GA

ECS455: Chapter 5 OFDM

The Low-frequency Compensation of the Vibration Sensor s Amplitude-frequency Characteristics

Adaptive Space/Frequency Processing for Distributed Aperture Radars

Flux estimation algorithms for electric drives: a comparative study

Design of buck-type current source inverter fed brushless DC motor drive and its application to position sensorless control with square-wave current

Transcription:

International Journal of Electronic Engineering, 2(1), 2010, pp. 35-40 Comparative Study of PLL, DDS and DDS-baed PLL Synthei Technique for Communication Sytem Govind Singh Patel 1 & Sanjay Sharma 2 1 ECED, Lingaya Univerity, Haryana, INDIA 2 ECED, Thapar Univerity, Punjab, INDIA Abtract: The phae locked loop(pll) ha been widely ued in wirele communication ytem due to the high frequency reolution and the hort locking time. The Direct Digital Synthei(DDS) i alo an emerging and maturing ignal generation technology. But another advanced technique in which, DDS ignal i mixed with the voltage-control ocillator output in the PLL feedback path. Thi olution help in avoiding ome of the typical tradeoff in PLL. In particular, it i poible to achieve a very high-frequency reolution together with fat ettling and pectral purity. The propoed deign conit in decribing the mixed behavior of thi DDS-baed PLL architecture tarting from the pecification of each building block. The HDL model of critical PLL block have been decribed in VHDL-AMS to predict the different pecification of the PLL. The effect of different noie ource ha been efficiently introduced to tudy the ytem performance. The obtained reult are compoed with tranitor-level imulation to validate the effectivene of the propoed model. The comparative tudy howed the performance of different parameter. Finally, DDS- baed PLL architecture i better than other architecture. Keyword: Phae Locked Loop(PLL), Direct Digital Synthei(DDS) and Frequency Synthei. 1. INTRODUCTION A Phae Locked Loop i a cloed loop control ytem which i ued for the purpoe of ynchronization of the frequency and phae of a locally generated ignal with that of an incoming ignal. It i baically a nonlinear feedback loop. The PLL conit of a voltage controlled ocillator (VCO), a phae detector, a variety of divider, and a loop filter. DDS i an emerging and maturing ignal generation technology. DDS conit of Phae Accumulator, Lookup table and DAC converter. In a general PLL yntheizer, the diviion ratio become large if frequency reolution i increaed. Thi decreae the phae comparion frequency. Conequently, the PLL output phae tability i degraded. Becaue here the reference clock i converted once by the DDS, it i poible to make the PLL phae comparion frequency large enough. It i obviou that puriou component exit in the DDS output, but they can be uppreed by the PLL low pa characteritic. The DDS i intalled in the PLL feedback circuit. In thi cae, too, the PLL phae comparion frequency can be raied by adjuting the DDS output frequency. Thi alo improve the PLL phae tability[1]-[2]. 2. ARCHITECTURE 2.1. Phae Locked Loop A Phae Locked Loop i a cloed loop control ytem which i ued for the purpoe of ynchronization of the frequency *Correponding Author: govindpatel99@rediffmail.com 1, anjay.harma@thapar.edu 2 and phae of a locally generated ignal with that of an incoming ignal. It i baically a nonlinear (the phae detector i a nonlinear device) feedback loop, a hown in fig. 1. The PLL conit of a voltage controlled ocillator (VCO), a phae detector, a variety of divider, and a loop filter. The VCO i a device whoe output frequency depend on the input control voltage. The relation i nonlinear but monotonic. However, when locked, the VCO can be aumed to be linear; it i both practical and convenient for analytical purpoe. Figure 1: PLL Block Diagram. Variation in the VCO control characteritic (i.e., thi nonlinearity) affect the loop parameter, and loop linearization (or compenation) i ued extenively. Generally, the VCO output waveform i given by A out [t, ω(v)] = A(t, v) in [ω(v)t + j] (1) Where A i the ignal amplitude and ω i the angular frequency, both depending on time t, and control voltage v. A a firt approximation, we aume that A ha a contant

36 International Journal of Electronic Engineering envelope (doe not depend on t or v) and that ω i a linear function of v. Therefore we can write eq. (1) a A out (t) = A in[ω 0 + k ν v)t + ϕ] (2) Here K v i the VCO contant [rad/(v)]. Since we aume that the frequency i linearly dependent on v and i given by ω(v) = ω 0 + k ν v (3) A mentioned, the linearization i jutified and i aumed for the purpoe of impler analyi. In reality, when the loop i locked, frequency variation are tiny, and the contant-vco aumption i correct a a piecewie linearization of the graph in fig. 2. Since phae i the integral of the angular frequency, we can complete the approximation by writing that the VCO tranfer function, given by ϕ 0 () V = K v A the Laplace tranfer function of the VCO output phae. The phae detector produce an output voltage proportional to the difference in phae between it input and i alway a nonlinear function. Typical phae detector output tranfer function are hown in fig. 3. However, cloe to the locked poition thi function can be aumed to be linear (thi i alo jutified ince in the locked condition mot frequency yntheizer operate with a very high ignal-tonoie ratio and the phae detector therefore operate mainly at a fixed-phae poition). Hence (4) V d = K d (ϕ i ϕ 0 )V/rad (5) Where V d i the phae detector output voltage. Now the loop tranfer function can be decribed a Let V c = V d ()F() V d = Kd [()()] ϕi / rad ϕ0 V (6) control voltage Where F() i the loop filter tranfer function and V c i the VCO control voltage. Solving thee imple equation yield ϕ 0 () = ϕ ()() K K F i d v + K K F() and the tranfer function H()= ϕ o ()/ ϕ i () i given by H() = v d K K F() d v + K K F() v Alo, following thee equation will how that the error tranfer function, defined a i given by H e () = d ϕ ()() ϕ i ϕ () i o (7) (8) (9) H e () = K K F() + d v (10) Since we linearzed all component, given K v and K d, the feedback loop behavior depend mainly on F(). Alo note that the error function ha high-pa characteritic, and therefore a true direct-current (dc) modulation of a PLL circuit i not poible. Thi function, however, alo referred to a dc frequency modulation, i poible in other ynthei technique [3]. 2.2. Direct Digital Synthei DDS i an emerging and maturing ignal generation technology. Up to 10 year ago, thi technique wa rather a novelty and wa ued in very limited application. However, due to the enormou evolution of digital technologie (peed, integration, power, cot), digital ignal proceing (DSP), and data converion device, it i becoming increaingly popular, and it performance improve contantly [4]. There i a fundamental difference between DDS and PLL. Although both PLL and DDS technique ue digital device, but the PLL technique i fundamentally analog dicipline. The baic ignal generator in both technique i an ocillator, which i a feedback - tuned amplifier et to operate under pecific condition (controlled intability). The ocillator i manipulated to allow the generation of a range of frequencie. In DDS, the ignal i generated and manipulated digitally from the ground up, and after all the digital manipulation are completed, it i converted to an analog ignal via a digital-to-analog converter [5]. Figure 2: DDS Block Diagram and Waveform 2.3. DDS driven PLL Frequency Syntheizer Architecture Figure 3: Block Diagram of the DDS driven PLL Frequency Syntheizer

Comparative Study of PLL, DDS and DDS-baed PLL Synthei Technique for Communication Sytem 37 The block diagram of the DDS-driven PLL frequency yntheizer i hown in fig. 3. The reference frequency of DDS, f ref, i generated by a crytal ocillator. The output frequency of DDS, f DDS, i controlled by the frequency tuning word. The reference of the PLL i driven by the output of DDS, f DDS. The output of VCO i controlled by the output of Charge Pump (CP) of PLL. The output ignal of thi frequency yntheizer of obtained by multiplying f vco. The PLL module ha a dual-modulu precaler that ha the pule wallow function. Thi enable the large diviion ratio. The dual-modulu precaler make it poible for the frequency yntheizer to generate higher output frequency while the frequency reolution i improved. The equation for the VCO frequency i f f vco = () BP + A DDS (11) R The frequency of DDS i controlled by the frequency tuning word K, and K f DDS = CLK 2 N f (12) Where N i the phae accumulator reolution of DDS and f CLK i the internal clock of DDS. Then equation (11) can be written a f VCO = () BP + A K f N CLK R 2 (13) In the cheme hown in fig. 3, ince DDS module ha good frequency reolution, from (13) we can find that the output ignal ha a better frequency reolution than traditional cheme. K. The output of DDS can be decribed a f DDS = () K + K t f N CLK (14) 2 Where K i the changing rate of frequency tuning word Since the output of VCO i phae locked to the DDS, the output frequency of VCO change according to the change of DDS. The output frequency of VCO can be decribed a f VCO = ()() BP + A + K K t (15) N R 2 It determine the changing rate of the frequency of the output ignal. Thee parameter can be imulated / analyzed by VHDL-AMS[6]-[9]. 3. ANALYSIS AND SIMULATION In order to analyi and evaluate the performance of the frequency yntheizer we propoed in thi paper, we analyzed the frequency ettling time and yntheized ignal of PLL and pectrum analyi of NCO uing MATLAB. Figure 4: Phae Locked Loop

38 International Journal of Electronic Engineering Figure 5: Reference Signal of Input of PLL The reult howed yntheized ignal of PLL in fig. 6. The amplitude of Input ignal i 1v and time period i Figure 6: Reference Signal of PLL 1*10 5 ec. in fig. 5. Frequency ettling time i quite important for the frequency yntheizer to generate ignal. Here ettling time i 1*10 5 ec. in fig. 7. Figure 7: Control Signal of PLL

Comparative Study of PLL, DDS and DDS-baed PLL Synthei Technique for Communication Sytem 39 Figure 8: Numerically Controlled Ocillator The pectrum analyi of Sine wave input i howed in fig. 9, it how peak repone at frequency 0.29 KHz. The pectrum analyi of Coine wave input i howed in fig. 10, it how peak repone at frequency 0.3 KHz[10]. Figure 9: NCO Spectrum of Sine Wave.

40 International Journal of Electronic Engineering Figure 10: NCO Spectrum of Coine Wave. 4. CONCLUSION The propoed comparative tudy conit of PLL, DDS and DDS- baed PLL. A DDS- driven PLL frequency yntheizer architecture i given in thi paper. The reult of DDS- baed PLL architecture decribing the mixed behavior of thi DDSbaed PLL architecture tarting from the pecification of each building block. The HDL model of critical PLL block have been decribed in VHDL-AMS to predict the different pecification of the PLL. The effect of different noie ource ha been efficiently introduced to tudy the ytem performance. The comparative tudy howed the performance of different parameter. Finally, DDS- baed PLL ynthei technique i better than other technique. REFERENCES [1] Dan Morelli, Modulating Direct Digital Syntheizer in a FPGA, VP of Engineering Accelent Sytem Inc, QuickLogic, pp. 143-156, Feb. 2008. [2] Bar-Giora Goldberg, Digital Frequency Synthei Demytified, 6 th Edition, LLH, Publihing Technology, 1999, Ch. 1, pp. 1-12. [3] Roland E. Bet, Phae-Locked Loop: Deign, Simulation & Application, 4 th Edition, McGraw-Hill Profeional Engineering, June 1999, Ch. 5, pp. 173-184. [4] Pacal Nelon, Deign and Analyi Freq. Syntheizer uing FPGA a Reconfiguration Hardware, Analog Device Inc.(Greenboro, N.C.), EE Time Publication, pp. 45-48, Sept. 2003. [5] Martin T. Hill and Antonio Cantoni, A Digital Implementation of a Frequency Steered Phae Locked Loop, IEEE Tranaction on Circuit and Sytem: Fundamental Theory and Application, 47, No. 6, pp. 818-824, June 2000. [6] A. Telba, J. M. Nora, M. Abou El Ela and B.AIMahaq, Simulation Technique for Noie and Timing Jitter in Phae Locked Loop, IEEE Tranaction on Simulation Technique, pp. 501-504, Aug. 2004. [7] Stefan Scheiblhofer, Stefan Schuter and Andrea Stelzer, Signal Model and Linearization for Nonlinear Chirp in FMCW Radar SAW-ID Tag Requet, IEEE Tranaction on Microwave Theory and Technique, 54, No. 4, pp-1477-1483, April 2006. [8] S. Walter and T. Troudet, Digital Phae-Locked Loop with Jitter Bounded, IEEE Tranaction on Circuit and Sytem, 36, No. 7, pp. 189-193, July 1989. [9] Longjun Zhai, Yonghuna Jiang, Xiang Ling and Weilang, DDS-Driven PLL Frequency Syntheizer for X- band Radar Signal Simulation, IEEE Conference on Communcation in China, pp-344-346, Dec. 2006. [10] A.Bonfanti, F. Amoroa, C. Samori and A. L. Lacaita, A DDS- baed PLL for 2.4 GHz Frequency Sythei, IEEE Tranaction on Circuit and Sytem-II : Analog and Digital Signal Proceing, 50, No.12, pp-1007-1011, Dec.2003.