Typical wireless DSP system. Lecture 2 Data Conversion. Typical hard disk DSP system. Typical PCM voiceband DSP system.

Size: px
Start display at page:

Download "Typical wireless DSP system. Lecture 2 Data Conversion. Typical hard disk DSP system. Typical PCM voiceband DSP system."

Transcription

1 Lecture Data Converion Typical wirele DSP ytem Objective: Review ignal converion in context of DSP ytem Important iue relating to ignal converion including: Sampling and aliaing Signal to quantization noie ratio Harmonic ditortion Sampling clock jitter Overampling converter Reference: DSP Sytem Deign uing the TMS30C6000 by N. Kehtarnavaz & M. Keramat, Prentice Hall 00 Two data converter required: low peed (8 ksps) and high reolution (3bit) for voice high peed (0 MSPS) and low reolution (8-bit) for RF DSP function integrated - mixture of ASIC & DSP proceor Lecture - Data converion - Lecture - Data converion - Typical PCM voiceband DSP ytem Typical hard dik DSP ytem Voiceband proceing often ue a low cot converter baed around a igmadelta ADC/DAC ytem with a reaonable amount of DSP hardware. Hard dik interface electronic alo rely heavily on digital ignal proceing. Data converion i normally done at very high frequency (00 MSPS or more) and low reolution (6 bit). Complex feedback ytem between DSP, ADC and analogue circuit. Very demanding example: high performance, low power and low cot! Lecture - Data converion - 3 Lecture - Data converion - 4

2 Typical motor control DSP ytem Aliaing in Sampling Sampling theorem: given a ignal which i bandlimited to f max ampling frequency f mut be at leat *f max. *f max i called the Nyquit frequency. Sampling the ignal below thi rate will caue ignal corruption - aliaing DSP proceor are often ued in control application. Motor control i an example - low peed and moderate reolution. Data converion requirement tend to be modet. Control algorithm can be very complex. Lecture - Data converion - 5 Lecture - Data converion - 6 Harmonic Ditortion and ampling Real life circuit are not perfectly linear Output of linear (analogue) circuit may have ditortion Subequent ampling (e.g. aturation of the input tage of a ADC ytem) will caue puriou ignal appearing in the baeband Quantization effect of A/D converter V ref N The minimum tep that an A/D can reolve i: LSB Quantization noie n q i aumed to be ignal independent and i uniformly ditributed over -0.5 LSB and 0.5 LSB, then the quantization noie variance i: σ q Ε[ nq ] nq dnq Effect on pectrum of a inewave: Lecture - Data converion - 7 Lecture - Data converion - 8

3 Signal-to-Noie Ratio of A/D converter For a inuoidal ignal with an amplitude of A m : P 0log P n 0log ( A ) m V Vref N SNR 3 max 0log 0log 6.0N +.76dB V ref N ref N Signal-to-Noie Ratio for Gauian ignal Aume a Gauian ignal with zero mean and tandard deviation σ uch that: V Kσ SNR max σ 0 log 6N log σ q For a linear time-invariant ytem (e.g. FIR, IIR filter), the noie variance at the output caued by input quantization i: σ where h[n] impule repone of the ytem ref o σ q n h [] n 0 K db Lecture - Data converion - 9 Lecture - Data converion - 0 Effect of ampling time jitter Jitter aociated with ampling clock contribute to additive noie For inuoidal ignal, maximum allowable time jitter which reult in le than ½LSB i given by: tmax N + π The following graph provide a ueful guideline: f in Signal recontruction with D/A converter Perfect recontruction can be achieved by filtering the ampled ignal with a brickwall filter, which i the ame a convoluting the ampled ignal with a inc function: v a () t v ( kt ) a k t kt inc T Lecture - Data converion - Lecture - Data converion -

4 Zero-order hold effect of D/A converter Thi i difficult to achieve, therefore ue approximation of ample-and-hold function with a D/A converter. The tranfer function of a D/A converter i: H ( jω) jω e jω jωt in ωt ( ωt / ) jωt f / jπf / f / e inc f e How to reduce thi D/A error? Two approache to reduce thi error: inc correction: pre-ditort ignal to compenate for thi error over-ampling Lecture - Data converion - 3 Lecture - Data converion - 4 Sigma-Delta A/D converter: why? Two type of A/D converter: Nyquit rate: flah A/D, ucceive approximation A/D Overampling: igma-delta Advantage of igma-delta converter: Inherently linear High reolution (6-4 bit) Good for mixed-ignal IC procee (e.g. CMOS) No ample-and-hold circuit required Diadvantage: Limited to voiceband and audio Difficult to multiplex one ADC to multiple channel Almot all audio ADC ue igma-delta technqiue Overampling A/D If the overampling ratio OSR i: f OSR f max SNR can be improved according to: SNRoverampli ng 6.0N log( OSR) db Lecture - Data converion - 5 Lecture - Data converion - 6

5 Eay antialiaing filter Sigma-delta modulator for noie-haping Overampling A/D require imple lowpa filter at input Lecture - Data converion - 7 Ue igma-delta modulator to puh the quantization noie power toward high frequency end, which i then filtered out Lecture - Data converion - 8 Block diagram of a igma-delta converter Overampled D/A converter Lecture - Data converion - 9 Lecture - Data converion - 0

6 Overampled D/A converter TLC30AD535 igma-delta codec Switch-Cap comb filter t order Σ modulator Typical 6-bit Σ DAC Lecture - Data converion - Lecture - Data converion -

DESIGN OF SECOND ORDER SIGMA-DELTA MODULATOR FOR AUDIO APPLICATIONS

DESIGN OF SECOND ORDER SIGMA-DELTA MODULATOR FOR AUDIO APPLICATIONS DESIGN OF SECOND ORDER SIGMA-DELTA MODULATOR FOR AUDIO APPLICATIONS 1 DHANABAL R, 2 BHARATHI V, 3 NAAMATHEERTHAM R SAMHITHA, 4 G.SRI CHANDRAKIRAN, 5 SAI PRAMOD KOLLI 1 Aitant Profeor (Senior Grade), VLSI

More information

Formatting and Baseband. Formatting & Baseband. Page 1. Formatting and Baseband Modulation. CSE4214 Digital Communications

Formatting and Baseband. Formatting & Baseband. Page 1. Formatting and Baseband Modulation. CSE4214 Digital Communications CSE4214 Digital Communication CSE4214 Digital Communication Chapter 2 Formatting Formatting and Baeband Modulation Formatting & Baeband Formatting and Baeband 3 4 Page 1 1 What i Formatting? Information

More information

EELE Lecture 11 Filter example, Bandwidth definitions and BPSK example

EELE Lecture 11 Filter example, Bandwidth definitions and BPSK example EELE445-14 Lecture 11 Filter example, Bandwidth definition and BPSK example Example: White noie through filter 0 S n (f) RC LPF fc = 10 MHz Find S n (f) in Watt/Hz The equivalent noie bandwidth of the

More information

ECE 6640 Digital Communications

ECE 6640 Digital Communications ECE 6640 Digital Communication Dr. Bradley J. Bazuin Aitant Profeor Department of Electrical and Computer Engineering College of Engineering and Applied Science Chapter 2 2. Formatting and Baeband Modulation.

More information

DIGITAL COMMUNICATION

DIGITAL COMMUNICATION DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL COMMUNICATION Spring 2010 Yrd. Doç. Dr. Burak Kelleci OUTLINE Line Code Differential Encoding Regeneration, Decoding and Filtering Delta Modulation

More information

ECE 6640 Digital Communications

ECE 6640 Digital Communications ECE 6640 Digital Communication Dr. Bradley J. Bazuin Aitant Profeor Department of Electrical and Computer Engineering College of Engineering and Applied Science Chapter. Formatting and Baeband Modulation.

More information

Comm 502: Communication Theory. Lecture 5. Intersymbol Interference FDM TDM

Comm 502: Communication Theory. Lecture 5. Intersymbol Interference FDM TDM Lecture 5 Interymbol Interference FDM TDM 1 Time Limited Waveform Time-Limited Signal = Frequency Unlimited Spectrum Square Pule i a Time-Limited Signal Fourier Tranform 0 T S -3/T S -2/T S -1/T S 0 1/T

More information

AN EVALUATION OF DIGILTAL ANTI-ALIASING FILTER FOR SPACE TELEMETRY SYSTEMS

AN EVALUATION OF DIGILTAL ANTI-ALIASING FILTER FOR SPACE TELEMETRY SYSTEMS AN EVALUATION OF DIGILTAL ANTI-ALIASING FILTER FOR SPACE TELEMETRY SYSTEMS Alion de Oliveira Morae (1), Joé Antonio Azevedo Duarte (1), Sergio Fugivara (1) (1) Comando-Geral de Tecnologia Aeroepacial,

More information

A Simple DSP Laboratory Project for Teaching Real-Time Signal Sampling Rate Conversions

A Simple DSP Laboratory Project for Teaching Real-Time Signal Sampling Rate Conversions A Simple DSP Laboratory Project for Teaching Real-Time Signal Sampling Rate Converion by Li Tan, Ph.D. lizhetan@pnc.edu Department of ECET Purdue Univerity North Central Wetville, Indiana Jean Jiang, Ph.D.

More information

A Real-Time Wireless Channel Emulator For MIMO Systems

A Real-Time Wireless Channel Emulator For MIMO Systems A eal-time Wirele Channel Emulator For MIMO Sytem Hamid Elami, Ahmed M. Eltawil {helami,aeltawil}@uci.edu Abtract: The improvement in channel capacity hailed by MIMO ytem i directly related to intricate

More information

ECS455: Chapter 5 OFDM

ECS455: Chapter 5 OFDM ECS455: Chapter 5 OFDM 1 Dr.Prapun Sukompong prapun.com/ec455 Office Hour: BKD 3601-7 Tueday 9:30-10:30 Friday 14:00-16:00 2 OFDM: Overview Let S 1, S 2,, S N be the information ymbol. The dicrete baeband

More information

3. Sampled measurements

3. Sampled measurements 3. Sampled meaurement By the end of thi ection you will be able to: Decribe the function of a finite aperture ampler Decribe the operation of A/D and D/A converter. Dicu the bandwidth and quantiation noie

More information

Design of hybrid continuous-time discrete-time delta-sigma modulators. Kwan, HK; Lui, SH; Lei, CU; Liu, Y; Wong, N; Ho, KL

Design of hybrid continuous-time discrete-time delta-sigma modulators. Kwan, HK; Lui, SH; Lei, CU; Liu, Y; Wong, N; Ho, KL Title Deign of hybrid continuou-time dicrete-time delta-igma modulator Author() Kwan, HK; Lui, SH; Lei, CU; Liu, Y; Wong, N; Ho, KL Citation Proceeding - Ieee International Sympoium On Circuit And Sytem,

More information

2.1 Circuit transform CHAPTER FDSM 2.0

2.1 Circuit transform CHAPTER FDSM 2.0 2CHAPTER 2. Circuit tranform CHAPTER The firt-order FDSM 2. Thi chapter tart by tranforming the conventional DSM into a non-feedback equivalent whoe new propertie are dicued. The firt-order FDSM principle

More information

A Feasibility Study on Frequency Domain ADC for Impulse-UWB Receivers

A Feasibility Study on Frequency Domain ADC for Impulse-UWB Receivers A Feaibility Study on Frequency Domain ADC for Impule-UWB Receiver Rajeh hirugnanam and Dong Sam Ha VV (Virginia ech VLSI for elecommunication Lab Department of Electrical and Computer Engineering Virginia

More information

Communication Systems, 5e

Communication Systems, 5e Communication Sytem, 5e Chapter 6: Sampling and pule modulation A. Bruce Carlon Paul B. Crilly 00 The McGraw-Hill Companie Chapter 6: Sampling and pule modulation Sampling theory and practice Pule-amplitude

More information

Phase-Locked Loops (PLL)

Phase-Locked Loops (PLL) Phae-Locked Loop (PLL) Recommended Text: Gray, P.R. & Meyer. R.G., Analyi and Deign of Analog Integrated Circuit (3 rd Edition), Wiley (992) pp. 68-698 Introduction The phae-locked loop concept wa firt

More information

MAX3610 Synthesizer-Based Crystal Oscillator Enables Low-Cost, High-Performance Clock Sources

MAX3610 Synthesizer-Based Crystal Oscillator Enables Low-Cost, High-Performance Clock Sources Deign Note: HFDN-31.0 Rev.1; 04/08 MAX3610 Syntheizer-Baed Crytal Ocillator Enable Low-Cot, High-Performance Clock Source MAX3610 Syntheizer-Baed Crytal Ocillator Enable Low-Cot, High-Performance Clock

More information

Synthetic aperture radar raw signal simulator for both pulsed and FM-CW modes

Synthetic aperture radar raw signal simulator for both pulsed and FM-CW modes Computational Method and Experimental Meaurement XV 43 Synthetic aperture radar raw ignal imulator for both puled and FM-CW mode P. Serafi C. Lenik & A. Kawalec Intitute of adioelectronic, Military Univerity

More information

Lecture 11. Noise from optical amplifiers. Optical SNR (OSNR), noise figure, (electrical) SNR Amplifier and receiver noise

Lecture 11. Noise from optical amplifiers. Optical SNR (OSNR), noise figure, (electrical) SNR Amplifier and receiver noise Lecture 11 Noie from optical amplifier EDFA noie Raman noie Optical SNR (OSNR), noie figure, (electrical) SNR Amplifier and receiver noie ASE and hot/thermal noie Preamplification for SNR improvement Fiber

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Thi document i downloaded from DRNTU, Univerity Library, Singapore. Title A circuit baed behavioral modeling of ContinuouTime Sigma Delta modulator Author() Leow, Yoon Hwee; Zhang, Fan; Teh, Li Lian; Siek,

More information

A Faster and Accurate Method for Spectral Testing Applicable to Noncoherent Data

A Faster and Accurate Method for Spectral Testing Applicable to Noncoherent Data A Fater and Accurate ethod for Spectral Teting Applicable to Noncoherent Data inhun Wu 1,2, Degang Chen 2, Guican Chen 1 1 School of Electronic and Information Engineering Xi an Jiaotong Univerity, Xi

More information

AC : TEACHING DIGITAL FILTER IMPLEMENTATIONS US- ING THE 68HC12 MICROCONTROLLER

AC : TEACHING DIGITAL FILTER IMPLEMENTATIONS US- ING THE 68HC12 MICROCONTROLLER AC 2011-549: TEACHING DIGITAL FILTER IMPLEMENTATIONS US- ING THE 68HC12 MICROCONTROLLER Li Tan, Purdue Univerity North Central DR. LI TAN i currently with the College of Engineering and Technology at Purdue

More information

REAL-TIME IMPLEMENTATION OF A NEURO-AVR FOR SYNCHRONOUS GENERATOR. M. M. Salem** A. M. Zaki** O. P. Malik*

REAL-TIME IMPLEMENTATION OF A NEURO-AVR FOR SYNCHRONOUS GENERATOR. M. M. Salem** A. M. Zaki** O. P. Malik* Copyright 2002 IFAC 5th Triennial World Congre, Barcelona, Spain REAL-TIME IMPLEMENTATION OF A NEURO- FOR SYNCHRONOUS GENERATOR M. M. Salem** A. M. Zaki** O. P. Malik* *The Univerity of Calgary, Canada

More information

Fast & Accurate Algorithm for Jitter Test with a Single Frequency Test Signal

Fast & Accurate Algorithm for Jitter Test with a Single Frequency Test Signal Fat & Accurate Algorithm for Jitter Tet ith a Single Frequency Tet Signal Minhun Wu 1,2, Degang Chen 2, Jingbo Duan 2 1 Xi an Jiaotong Univerity, Xi an,. R. China 2 Ioa State Univerity, Ame, IA, USA Abtract

More information

Lab 7 Rev. 2 Open Lab Due COB Friday April 27, 2018

Lab 7 Rev. 2 Open Lab Due COB Friday April 27, 2018 EE314 Sytem Spring Semeter 2018 College of Engineering Prof. C.R. Tolle South Dakota School of Mine & Technology Lab 7 Rev. 2 Open Lab Due COB Friday April 27, 2018 In a prior lab, we et up the baic hardware

More information

Previous lecture. Lecture 5 Control of DVD reader. TheDVD-reader tracking problem. Can you see the laser spot?

Previous lecture. Lecture 5 Control of DVD reader. TheDVD-reader tracking problem. Can you see the laser spot? Lecture 5 Control of DVD reader Previou lecture Focu control Radial control (Track following) Lecture 4: Specification in frequency domain Loop haping deign Problem formulation Modeling Specification Focu

More information

Analysis. Control of a dierential-wheeled robot. Part I. 1 Dierential Wheeled Robots. Ond ej Stan k

Analysis. Control of a dierential-wheeled robot. Part I. 1 Dierential Wheeled Robots. Ond ej Stan k Control of a dierential-wheeled robot Ond ej Stan k 2013-07-17 www.otan.cz SRH Hochchule Heidelberg, Mater IT, Advanced Control Engineering project Abtract Thi project for the Advanced Control Engineering

More information

Chapter Introduction

Chapter Introduction Chapter-6 Performance Analyi of Cuk Converter uing Optimal Controller 6.1 Introduction In thi chapter two control trategie Proportional Integral controller and Linear Quadratic Regulator for a non-iolated

More information

UNIVERSITY OF SASKATCHEWAN EE456: Digital Communications FINAL EXAM, 9:00AM 12:00PM, December 9, 2010 (open-book) Examiner: Ha H.

UNIVERSITY OF SASKATCHEWAN EE456: Digital Communications FINAL EXAM, 9:00AM 12:00PM, December 9, 2010 (open-book) Examiner: Ha H. Name: Page 1 UNIVERSIY OF SASKACHEWAN EE456: Digital Communication FINAL EXAM, 9:00AM 1:00PM, December 9, 010 (open-book) Examiner: Ha H. Nguyen Permitted Material: Only textbook and calculator here are

More information

AN ELECTROMECHANICAL SIGMA-DELTA MODULATOR FOR MEMS GYROSCOPE. Byung Su Chang*, Jang Gyu Lee*, Taesam Kang **, and Woon-Tahk Sung*

AN ELECTROMECHANICAL SIGMA-DELTA MODULATOR FOR MEMS GYROSCOPE. Byung Su Chang*, Jang Gyu Lee*, Taesam Kang **, and Woon-Tahk Sung* AN ELECTROECHANICAL SIGA-DELTA ODULATOR FOR ES GYROSCOPE Bung Su Chang*, Jang Gu Lee*, Taeam Kang **, and Woon-Tahk Sung* * School of Electrical Engineering and Computer Science, Seoul National Univerit,

More information

Frequency Calibration of A/D Converter in Software GPS Receivers

Frequency Calibration of A/D Converter in Software GPS Receivers Frequency Calibration of A/D Converter in Software GPS Receiver L. L. Liou, D. M. Lin, J. B. Tui J. Schamu Senor Directorate Air Force Reearch Laboratory Abtract--- Thi paper preent a oftware-baed method

More information

Massachusetts Institute of Technology Haystack Observatory WESTFORD, MASSACHUSETTS DATE 07/15/2009

Massachusetts Institute of Technology Haystack Observatory WESTFORD, MASSACHUSETTS DATE 07/15/2009 BBD Memo #033 Maachuett Intitute of Technolog Hatack Obervator WESTFORD, MASSACHUSETTS 0886 DATE 07/5/2009 To: Broadband Development Group From: C. J. Beaudoin Subject: Holographic Proceing and Conideration

More information

Summary Last Lecture

Summary Last Lecture Interleaved ADCs EE47 Lecture 4 Oversampled ADCs Why oversampling? Pulse-count modulation Sigma-delta modulation 1-Bit quantization Quantization error (noise) spectrum SQNR analysis Limit cycle oscillations

More information

MIMO Systems: Multiple Antenna Techniques

MIMO Systems: Multiple Antenna Techniques ADVANCED MIMO SYSTEMS MIMO Sytem: Multiple Antenna Technique Yiqing ZOU, Zhengang PAN, Kai-Kit WONG Dr, Senior Member of IEEE, Aociate Editor, IEEE TWirele, IEEE CL, and JoC (AP), Senior Lecturer, Department

More information

Comparative Study of PLL, DDS and DDS-based PLL Synthesis Techniques for Communication System

Comparative Study of PLL, DDS and DDS-based PLL Synthesis Techniques for Communication System International Journal of Electronic Engineering, 2(1), 2010, pp. 35-40 Comparative Study of PLL, DDS and DDS-baed PLL Synthei Technique for Communication Sytem Govind Singh Patel 1 & Sanjay Sharma 2 1

More information

SDC/RDC-F SERIES ISOLATED SYNCHRO/RESOLVER TO DIGITAL CONVERTERS

SDC/RDC-F SERIES ISOLATED SYNCHRO/RESOLVER TO DIGITAL CONVERTERS SDC/RDC-F SERIES ISOLATED SYNCHRO/RESOLVER TO DIGITAL CONVERTERS FEATURES "Whole-Converter-Product" no external component required, true field voltage input, aured accuracy and dynamic performance. 100%

More information

The Case for Oversampling

The Case for Oversampling EE47 Lecture 4 Oversampled ADCs Why oversampling? Pulse-count modulation Sigma-delta modulation 1-Bit quantization Quantization error (noise) spectrum SQNR analysis Limit cycle oscillations nd order ΣΔ

More information

IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 11, 2016 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 11, 2016 ISSN (online): IJSRD - International Journal for Scientific Reearch & Development Vol. 3, Iue 11, 2016 ISSN (online): 2321-0613 Deign and Analyi of IIR Peak & Notch Ravi Choudhary 1 Pankaj Rai 2 1 M.Tech. Student 2 Aociate

More information

Position Control of a Large Antenna System

Position Control of a Large Antenna System Poition Control of a Large Antenna Sytem uldip S. Rattan Department of Electrical Engineering Wright State Univerity Dayton, OH 45435 krattan@c.wright.edu ABSTRACT Thi report decribe the deign of a poition

More information

SINGLE-PHASE ACTIVE FILTER FOR HIGH ORDER HARMONICS COMPENSATION

SINGLE-PHASE ACTIVE FILTER FOR HIGH ORDER HARMONICS COMPENSATION .jee.ro SINGLE-PHASE ACTIVE FILTER FOR HIGH ORDER HARMONICS COMPENSATION Kyo-Beum Lee Diviion of Electrical and Computer Engineering, Ajou Univerity San5, Woncheon-dong, Yeontong-gu, Suon 44-749, Korea

More information

Active vibration isolation for a 6 degree of freedom scale model of a high precision machine

Active vibration isolation for a 6 degree of freedom scale model of a high precision machine Active vibration iolation for a 6 degree of freedom cale model of a high preciion machine W.B.A. Boomma Supervior Report nr : Prof. Dr. Ir. M. Steinbuch : DCT 8. Eindhoven Univerity of Technology Department

More information

Digital Control of Boost PFC AC-DC Converters with Predictive Control

Digital Control of Boost PFC AC-DC Converters with Predictive Control Proceeding of the th International Middle Eat Power Sytem Conference (MEPCON ), Cairo Univerity, Egypt, December 9-,, Paper ID 7. Digital Control of Boot PFC AC-DC Converter with Predictive Control H.Z.Azazi

More information

EE247 Lecture 10. EECS 247 Lecture 10 Switched-Capacitor Filters 2010 H. K. Page 1. DDI Switched-Capacitor Integrator 1 2 C I. Vin. Cs 1.

EE247 Lecture 10. EECS 247 Lecture 10 Switched-Capacitor Filters 2010 H. K. Page 1. DDI Switched-Capacitor Integrator 1 2 C I. Vin. Cs 1. EE247 Lecture 0 Switched-capacitor filter (continued) DDI integrator LDI integrator Effect of paraitic capacitance Bottom-plate integrator topology Switched-capacitor reonator Bandpa S.. filter Lowpa S..

More information

An FM signal in the region of 4.2 to 4.6

An FM signal in the region of 4.2 to 4.6 A LOW COST, HIGH ACCURACY RADAR ALTIMETER Thi article decribe the development of a frequency modulated (FM) radar altimeter for meauring the height of flying object. The entire tructure comprie two part:

More information

Reasons for Choosing Encoding Techniques. Signal Encoding Techniques. Reasons for Choosing Encoding Techniques. Signal Encoding Criteria

Reasons for Choosing Encoding Techniques. Signal Encoding Techniques. Reasons for Choosing Encoding Techniques. Signal Encoding Criteria Reaon for Chooing Enoding Tehnique Signal Enoding Tehnique Chapter 6 Digital data, digital ignal Equipment le omplex and expenive than digital-to-analog modulation equipment Analog data, digital ignal

More information

Pilot Symbol Assisted Modulation using 16-Level QAM for a Wireless System

Pilot Symbol Assisted Modulation using 16-Level QAM for a Wireless System Pilot Symbol Aited Modulation uing 16-Level QAM for a Wirele Sytem Pilot Symbol Aited Modulation uing 16-Level QAM for a Wirele Sytem Angela DARIE, Ion BOGDAN Rezumat. Modulaţia cu imbol pilot(psam) ete

More information

Comparison Study in Various Controllers in Single-Phase Inverters

Comparison Study in Various Controllers in Single-Phase Inverters Proceeding of 2010 IEEE Student Conference on Reearch and Development (SCOReD 2010), 13-14 Dec 2010, Putrajaya, Malayia Comparion Study in ariou Controller in Single-Phae Inverter Shamul Aizam Zulkifli

More information

Summary Last Lecture

Summary Last Lecture EE47 Lecture 5 Pipelined ADCs (continued) How many bits per stage? Algorithmic ADCs utilizing pipeline structure Advanced background calibration techniques Oversampled ADCs Why oversampling? Pulse-count

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT)

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) ISSN: 777 ISO 9: Certified Volume, Iue, April Deign of Coine Modulated Filter Bank uing Computationally Efficient Multiplierle FIR Filter Jyotna Ogale, Alok Jain Abtract Thi reearch work preent a computationally

More information

SCK LAB MANUAL SAMPLE

SCK LAB MANUAL SAMPLE SCK LAB MANUAL SAMPLE VERSION 1.2 THIS SAMPLE INCLUDES: TABLE OF CONTENTS TWO SELECTED LABS FULL VERSION IS PROVIDED FREE WITH KITS Phone: +92 51 8356095, Fax: +92 51 8311056 Email: info@renzym.com, URL:www.renzym.com

More information

9. MULTIRATE SYSTEMS APPLICATIONS

9. MULTIRATE SYSTEMS APPLICATIONS 9. ampling and ignal recontruction in digital audio ytem 9. ULTIRATE YTE APPLICATION In a high-fidelity audio ytem, the ueful bandwidth i around = kh. The minimum ampling frequency hould be = =8 kh. X

More information

Self-Programmable PID Compensator for Digitally Controlled SMPS

Self-Programmable PID Compensator for Digitally Controlled SMPS 6 IEEE COMPEL Workhop, Renelaer Polytechnic Intitute, Troy, NY, USA, July 16-19, 6 Self-Programmable PID Compenator for Digitally Controlled SMPS Zhenyu Zhao and Alekandar Prodi Univerity of Toronto Toronto,

More information

DSP-Based Control of Boost PFC AC-DC Converters Using Predictive Control

DSP-Based Control of Boost PFC AC-DC Converters Using Predictive Control DSP-Baed Control of Boot PFC AC-DC Converter Uing Predictive Control H.Z.Azazi*, E. E. E-Kholy**, S.A.Mahmoud* and S.S.Shokralla* * Electrical Engineering Department, Faculty of Engineering, Menoufiya

More information

Gemini. The errors from the servo system are considered as the superposition of three things:

Gemini. The errors from the servo system are considered as the superposition of three things: Gemini Mount Control Sytem Report Prediction Of Servo Error Uing Simulink Model Gemini 9 July 1996 MCSJDW (Iue 3) - Decribe the proce of etimating the performance of the main axi ervo uing the non-linear

More information

A COMPARISON OF METHODS FOR EVALUATING THE TEST ZONE PERFORMANCE OF ANECHOIC CHAMBERS DESIGNED FOR TESTING WIRELESS DEVICES

A COMPARISON OF METHODS FOR EVALUATING THE TEST ZONE PERFORMANCE OF ANECHOIC CHAMBERS DESIGNED FOR TESTING WIRELESS DEVICES A COMPARISON OF METHODS FOR EVALUATING THE TEST ZONE PERFORMANCE OF ANECHOIC CHAMBERS DESIGNED FOR TESTING WIRELESS DEVICES Jame D. Huff John C. Mantovani Carl W. Sirle The Howland Company, Inc. 4540 Atwater

More information

Analog-to-Digital Converters

Analog-to-Digital Converters EE47 Lecture 3 Oversampled ADCs Why oversampling? Pulse-count modulation Sigma-delta modulation 1-Bit quantization Quantization error (noise) spectrum SQNR analysis Limit cycle oscillations nd order ΣΔ

More information

Constant Switching Frequency Self-Oscillating Controlled Class-D Amplifiers

Constant Switching Frequency Self-Oscillating Controlled Class-D Amplifiers http://dx.doi.org/.5755/j.eee..6.773 ELEKTRONIKA IR ELEKTROTECHNIKA, ISSN 39 5, OL., NO. 6, 4 Contant Switching Frequency Self-Ocillating Controlled Cla-D Amplifier K. Nguyen-Duy, A. Knott, M. A. E. Anderen

More information

A DESIGN GUIDE FOR COMPARATOR-BASED SWITCHED-CAPACITOR INTEGRATOR

A DESIGN GUIDE FOR COMPARATOR-BASED SWITCHED-CAPACITOR INTEGRATOR A DESIGN GUIDE FOR COMPARATOR-BASED SWITCHED-CAPACITOR INTEGRATOR Sadegh Biabanifard 1, Toktam Aghaee 2 and Shahrouz Aadi 2 1 Microelectronic Lab, Shahid Behehti Univerity, G. C, Tehran 2 Department of

More information

Tasks of Power Electronics

Tasks of Power Electronics Power Electronic Sytem Power electronic refer to control and converion of electrical power by power emiconductor device wherein thee device operate a witche. Advent of ilicon-controlled rectifier, abbreviated

More information

Adaptive Groundroll filtering

Adaptive Groundroll filtering Adaptive Groundroll filtering David Le Meur (CGGVerita), Nigel Benjamin (CGGVerita), Rupert Cole (Petroleum Development Oman) and Mohammed Al Harthy (Petroleum Development Oman) SUMMARY The attenuation

More information

Simulation study on Sinusoidal Pulse Width Modulation based on Digital Signal Processing Technique

Simulation study on Sinusoidal Pulse Width Modulation based on Digital Signal Processing Technique Advanced Science and echnology Letter Vol.83 (ISA 015), pp.5-9 http://dx.doi.org/10.1457/atl.015.83.06 Simulati tudy Sinuoidal Pule Width Modulati baed Digital Signal Proceing echnique Changyg Yin 1, Xiaoyu

More information

MM6 PID Controllers. Readings: Section 4.2 (the classical three-term controllers, p except subsection 4.2.5); Extra reading materials

MM6 PID Controllers. Readings: Section 4.2 (the classical three-term controllers, p except subsection 4.2.5); Extra reading materials MM6 PID Controller Reading: Section 4.2 the claical three-term controller, p.179-196 except ubection 4.2.5; Extra reading material 9/9/2011 Claical Control 1 What have we talked in MM5? Stability analyi

More information

Digital joint phase and sampling instant synchronisation for UMTS standard

Digital joint phase and sampling instant synchronisation for UMTS standard Digital joint phae and ampling intant ynchroniation for UMTS tandard Youef Serretou, Koai Raoof, Joël Lienard To cite thi verion: Youef Serretou, Koai Raoof, Joël Lienard. Digital joint phae and ampling

More information

M.Sc.(Eng) in building services MEBS Utilities services Department of Electrical & Electronic Engineering University of Hong Kong

M.Sc.(Eng) in building services MEBS Utilities services Department of Electrical & Electronic Engineering University of Hong Kong MEBS 6000 010 Utilitie ervice Induction Motor peed control Not long ago, induction machine were ued in application for which adjutable peed i not ruired. Before the power electronic era, and the pule width

More information

EE247 Lecture 7. Example Gm-C BP filter using simple diff. pair. Various Gm-C Filter implementations Comparison of continuous-time filter topologies

EE247 Lecture 7. Example Gm-C BP filter using simple diff. pair. Various Gm-C Filter implementations Comparison of continuous-time filter topologies Summary lat lecture EE247 Lecture 7 Continuoutime filter Bandpa filter Example GmC BP filter uing imple diff. pair Linearity Noie Variou GmC Filter implementation Comparion of continuoutime filter topologie

More information

EE247 Lecture 26. This lecture is taped on Wed. Nov. 28 th due to conflict of regular class hours with a meeting

EE247 Lecture 26. This lecture is taped on Wed. Nov. 28 th due to conflict of regular class hours with a meeting EE47 Lecture 6 This lecture is taped on Wed. Nov. 8 th due to conflict of regular class hours with a meeting Any questions regarding this lecture could be discussed during regular office hours or in class

More information

CHAPTER 2 WOUND ROTOR INDUCTION MOTOR WITH PID CONTROLLER

CHAPTER 2 WOUND ROTOR INDUCTION MOTOR WITH PID CONTROLLER 16 CHAPTER 2 WOUND ROTOR INDUCTION MOTOR WITH PID CONTROLLER 2.1 INTRODUCTION Indutrial application have created a greater demand for the accurate dynamic control of motor. The control of DC machine are

More information

Produced in cooperation with. Revision: May 26, Overview

Produced in cooperation with. Revision: May 26, Overview Lab Aignment 6: Tranfer Function Analyi Reviion: May 6, 007 Produced in cooperation with www.digilentinc.com Overview In thi lab, we will employ tranfer function to determine the frequency repone and tranient

More information

Anti-windup Robust Controller Considering Saturation of Current and Speed for Speed Servo System

Anti-windup Robust Controller Considering Saturation of Current and Speed for Speed Servo System International Journal of Engineering Reearch & Technology (IJERT) Vol. 3 Iue 7, July - 24 Anti-windup Robut Controller Conidering Saturation of Current and Speed for Speed Servo Sytem D. Balachandra K.

More information

FM Range Calculation

FM Range Calculation FM Range Calculation Thi heet i to etimate of the range that can be epected from an FM or ASK modulated ytem. Chri Haji-Michael www.unhadow.co.uk It ue a modified-verion of the Fri tranmiion equation.

More information

for amateur radio applications and beyond...

for amateur radio applications and beyond... for amateur radio applications and beyond... Table of contents Numerically Controlled Oscillator (NCO) Basic implementation Optimization for reduced ROM table sizes Achievable performance with FPGA implementations

More information

Saliency Tracking-Based Sensorless Control of AC Drives. F. Briz, 6/2010 Saliency Tracking-based Sensorless Control of AC Drives 1

Saliency Tracking-Based Sensorless Control of AC Drives. F. Briz, 6/2010 Saliency Tracking-based Sensorless Control of AC Drives 1 Saliency Tracking-Baed Senorle Control of AC Drive F. Briz, 6/21 Saliency Tracking-baed Senorle Control of AC Drive 1 High Frequency Signal Injection Baed Senorle Method Senorle control of AC drive: Motivation

More information

Lecture #6: Analog-to-Digital Converter

Lecture #6: Analog-to-Digital Converter Lecture #6: Analog-to-Digital Converter All electrical signals in the real world are analog, and their waveforms are continuous in time. Since most signal processing is done digitally in discrete time,

More information

The Performance Analysis of MIMO OFDM System with Different M-QAM Modulation and Convolution Channel Coding

The Performance Analysis of MIMO OFDM System with Different M-QAM Modulation and Convolution Channel Coding The Performance Analyi of MIMO OFDM Sytem with Different M-QAM Modulation and Convolution Channel Coding H. S. Shwetha M.tech, Digital Communication Engineering Siddaganga Intitute of Technology Tumakuru,

More information

Single Phase Transformerless Inverter and its Closed Loop Control for Grid Connected PV Applications

Single Phase Transformerless Inverter and its Closed Loop Control for Grid Connected PV Applications Single Phae Tranormerle Inverter and it Cloed Loop Control or Grid Connected PV Application 1 Pratik D. Rahate & Mini Rajeev 1, Dept. o Electrical Engineering, Fr. C. Rodrigue Intitute o Technology, Navi

More information

READING ASSIGNMENTS. Signal Processing First. SYSTEMS Process Signals LECTURE OBJECTIVES. This Lecture: Lecture 8 Sampling & Aliasing.

READING ASSIGNMENTS. Signal Processing First. SYSTEMS Process Signals LECTURE OBJECTIVES. This Lecture: Lecture 8 Sampling & Aliasing. Signal Proceing Firt Lecture 8 Sampling & Aliaing READING ASSIGNMENTS Thi Lecture: Chap 4, Section 4- and 4-2 Replace Ch 4 in DSP Firt, pp. 83-94 Other Reading: Recitation: Strobe Demo (Sect 4-3 Next Lecture:

More information

Instantaneous Cycle-Slip Detection and Repair of GPS Data Based on Doppler Measurement

Instantaneous Cycle-Slip Detection and Repair of GPS Data Based on Doppler Measurement Intantaneou Cycle-Slip Detection and Repair of GPS Data Baed on Doppler Meaurement Zhoufeng Ren, Liyan Li, Jie Zhong, and Minjian Zhao Abtract In GPS receiver, carrier phae meaurement can be ued to improve

More information

/09/$ IEEE 472

/09/$ IEEE 472 Bai Puruit for Robut Paive Acoutic Beamforming Ben Shapo and Chri Kreucher Integrity Application Incorporated 900 Victor Way, Suite 220 Ann Arbor, MI 48108 bhapo@integrity-app.com, ckreuche@umich.edu Abtract

More information

Simulation and Modeling of Fractional-N sigma delta PLL for Quantisation Noise Optimisation

Simulation and Modeling of Fractional-N sigma delta PLL for Quantisation Noise Optimisation Simulation and Modeling of Fractional-N igma delta PLL for Quantiation Noie Optimiation Appu Baby M.Tech, VLSI Deign and Embedded Sytem RV College of Engineering Bengaluru, India Dr. Kariyappa B. S. Profeor,

More information

Electronics A/D and D/A converters

Electronics A/D and D/A converters Electronics A/D and D/A converters Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED December 1, 2014 1 / 26 Introduction The world is analog, signal processing nowadays is

More information

Mansour Keramat. * No part may be reproduced without permission from the author. 1- Application of Data Converters. Contents

Mansour Keramat. * No part may be reproduced without permission from the author. 1- Application of Data Converters. Contents Mansour Keramat Analog and Mixed Signal Laboratory Electrical & Computer Eng. Dept. University of Connecticut Storrs, CT 06269 E-mail: keramat@engr.uconn.edu URL: http://www.engr.uconn.edu/~keramat * No

More information

Chapter 5 CONTROL OF CASCADED-MULTILEVEL CONVERTER-BASED STATCOM. 5.1 Control Analysis and Design

Chapter 5 CONTROL OF CASCADED-MULTILEVEL CONVERTER-BASED STATCOM. 5.1 Control Analysis and Design Chapter 5 CONTROL OF CASCADED-MULTILEVEL CONVERTER-BASED STATCOM Thi chapter propoe a new control technique for the CMC-baed STATCOM. The propoed STATCOM model, which wa derived in Chapter 4, i employed

More information

FUZZY Logic Based Space Vector PWM Controlled Hybrid Active Power Filter for Power Conditioning

FUZZY Logic Based Space Vector PWM Controlled Hybrid Active Power Filter for Power Conditioning FUZZY Logic Baed Space Vector PWM Controlled Hybrid Active Power Filter for Power Conditioning 1 JARUPULA SOMLAL 2 DR.MANNAM VENU GOPALA RAO 1 Aociate Profeor, 2 Profeor Department of EEE K L Univerity

More information

Voltage/Current Measurement Performance and Power Supply Rejection in All-Digital Class-D Power Amplifiers

Voltage/Current Measurement Performance and Power Supply Rejection in All-Digital Class-D Power Amplifiers 016 IEEE Proceeding of the 4nd Annual Conference of the IEEE Indutrial Electronic Society (IECON 016), Florence, Italy, October 3-7, 016 Voltage/Current Meaurement Performance and Power Supply Rejection

More information

Experiment 8: Active Filters October 31, 2005

Experiment 8: Active Filters October 31, 2005 Experiment 8: Active Filter October 3, In power circuit filter are implemented with ductor and capacitor to obta the deired filter characteritic. In tegrated electronic circuit, however, it ha not been

More information

ENHANCEMENT OF FINGER VEIN IMAGE USING MULTIFILTERING ALGORITHM

ENHANCEMENT OF FINGER VEIN IMAGE USING MULTIFILTERING ALGORITHM ENHANCEMENT OF FINGER VEIN IMAGE USING MULTIFILTERING ALGORITHM Kayode A. Akintoye 1, 2, Mohd Shafry Mohd Rahim 1 and Abdul Hanan Abdullah 1 1 Department of Computer Science, Faculty of Computing, Univeriti

More information

ew approach to measuring vibration parameters of the remote objects with the ZigBee technique Borowik Bohdan

ew approach to measuring vibration parameters of the remote objects with the ZigBee technique Borowik Bohdan 16 th IMEKO TC4 Sympoium Exploring New Frontier of Intrumentation and Method for Electrical and Electronic Meaurement Sept. -4, 8, Florence, Italy ew approach to meauring vibration parameter of the remote

More information

Chapter 14 Waveforms PAGE 1

Chapter 14 Waveforms PAGE 1 Chapter 4 Waveform Chapter 4 Waveform... 4. Introduction... 4. Waveform... 4.3 Tranient... 3 3.3. Firt Order Tranient... 3 4.3. RL Circuit... 5 4.3.3 RC Circuit... 6 4.4 LaPlace... 7 4.5 LaPlace Operational

More information

The success of proportional-integral-derivative (PID) control

The success of proportional-integral-derivative (PID) control APPLICATIONS OF CONTROL «Robut Advanced PID Control (RaPID) PID Tuning Baed on Engineering Specification JAIRO J. ESPINOSA OVIEDO, TOM BOELEN, and PETER VAN OVERSCHEE The ucce of proportional-integral-derivative

More information

Hardware-in-the-loop tuning of a feedback controller for a buck converter using a GA

Hardware-in-the-loop tuning of a feedback controller for a buck converter using a GA SPEEDAM 8 International Sympoium on Power Electronic, Electrical Drive, Automation and Motion Hardware-in-the-loop tuning of a feedback controller for a buck converter uing a GA Mr K. D. Wilkie, Dr M.

More information

Voltage Analysis of Distribution Systems with DFIG Wind Turbines

Voltage Analysis of Distribution Systems with DFIG Wind Turbines 1 Voltage Analyi of Ditribution Sytem with DFIG Wind Turbine Baohua Dong, Sohrab Agarpoor, and Wei Qiao Department of Electrical Engineering Univerity of Nebraka Lincoln Lincoln, Nebraka 68588-0511, USA

More information

Research and Analysis of Digital Baseband Transmission System Based on MATLAB

Research and Analysis of Digital Baseband Transmission System Based on MATLAB Journal of Secure Communication and Sytem (017) Original Reearch Article Reearch and Analyi of Digital Baeband ranmiion Sytem Baed on MALAB Jianwen Chai,Yinghui Nie Phyic and Electronic Intitute, Hanzhong

More information

IN : INSTRUMENTATION ENGINEERING

IN : INSTRUMENTATION ENGINEERING 013 Quetion Booklet Code IN : INSTRUMENTATION ENGINEERING A Duration: Three Hour Maximum Mark: 100 Read the following intruction carefully. 1. Do not open the eal of the Quetion Booklet until you are aked

More information

Speed Control of FSTP Inverter Fed Induction Motor Drive with a Neural Network Control

Speed Control of FSTP Inverter Fed Induction Motor Drive with a Neural Network Control IOSR Journal of Electrical and Electronic Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Iue 1 Ver. IV (Jan Feb. 2015), PP 14-22 www.iorjournal.org Speed Control of FSTP Inverter

More information

PULSEWIDTH CONTROL WITH DELAY LOCKED LOOP

PULSEWIDTH CONTROL WITH DELAY LOCKED LOOP PULSEWITH ONTOL WITH ELAY LOKE LOOP Goran S. Jovanović and Mile K. Stojčev Faculty of Electronic Engineering, Univerity of Niš, Aleandra Medvedova 4, Niš, Serbia, tojcev@elfa.ni.ac.yu Abtract-- The duty-cycle

More information

Chapter 2: Digitization of Sound

Chapter 2: Digitization of Sound Chapter 2: Digitization of Sound Acoustics pressure waves are converted to electrical signals by use of a microphone. The output signal from the microphone is an analog signal, i.e., a continuous-valued

More information

Q.1 Q.30 Carry One Mark Each 1. The minimum number of equations required to analyze the circuit shown in Fig.Q.1 is

Q.1 Q.30 Carry One Mark Each 1. The minimum number of equations required to analyze the circuit shown in Fig.Q.1 is GATE EC - 003 Q. Q.30 Carry One Mark Each. The minimum number of equation required to analyze the circuit hown in Fig.Q. i C C ~ C (a) 3 (b) 4 (c) 6 (d) 7. A ource of angular frequency rad/ec ha a ource

More information

Pre- and Post-DFT Combining Space Diversity Receiver for Wideband Multi-Carrier Systems

Pre- and Post-DFT Combining Space Diversity Receiver for Wideband Multi-Carrier Systems Pre- and Pot- Combining Space Receiver for Wideband Multi-Carrier Sytem Muhammad Imadur Rahman, Suvra Sekhar Da, Frank HP Fitzek, Ramjee Praad Center for TeleInFratruktur (CTiF), Aalborg Univerity, Denmark

More information

A Wideband Low-Power Cascade Modulator Based on Considerations of the Integrator Settling Behavior

A Wideband Low-Power Cascade Modulator Based on Considerations of the Integrator Settling Behavior Proceeding of the 5th WEA nt. onf. on ntrumentation, Meaurement, ircuit and ytem, Hangzhou, hina, April 16-18, 006 (pp11-116) A Wideband Low-Power acade Modulator Baed on onideration of the ntegrator ettling

More information