CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013

Similar documents
Legacy & Leading Edge Both are Winners

CMP for Advanced Packaging

IMPACT OF 450MM ON CMP

The Development of the Semiconductor CVD and ALD Requirement

21 st Annual Needham Growth Conference

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Chapter 15 Summary and Future Trends

Lithography. International SEMATECH: A Focus on the Photomask Industry

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

W ith development risk fully borne by the equipment industry and a two-year delay in the main

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

CMP for More Than Moore

Design, Characteristics and Performance of Diamond Pad Conditioners

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel Technology Journal

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1

Markets for On-Chip and Chip-to-Chip Optical Interconnects 2015 to 2024 January 2015

THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE

Timothy S. Cale, Ph.D ,

Update: SOI Wafer Market Continues Its Growth

Recent Trends in Semiconductor IC Device Manufacturing

The SEMATECH Model: Potential Applications to PV

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

AN ANALYSIS: TRADITIONAL SEMICONDUCTOR LITHOGRAPHY VERSUS EMERGING TECHNOLOGY (NANO IMPRINT) Robert L. Wright Kranthi Mitra Adusumilli

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger - FormFactor

Litho Metrology. Program

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger FormFactor

Trends and Challenges in VLSI Technology Scaling Towards 100nm

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

Market and technology trends in advanced packaging

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

ISMI Industry Productivity Driver

Lithography in our Connected World

Wafer-Edge Challenges

The Road to 450 mm Semiconductor Wafers Ira Feldman

Growing the Semiconductor Industry in New York: Challenges and Opportunities

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Tunneling Field Effect Transistors for Low Power ULSI

6.012 Microelectronic Devices and Circuits

Market Forecasts for Silicon Carbide & Gallium Nitride Power Semiconductors. Richard Eden Senior Analyst IMS Research (an IHS company)

1 Digital EE141 Integrated Circuits 2nd Introduction

Limitations and Challenges to Meet Moore's Law

Economic Model Workshop, Philadelphia

The Future of Packaging ~ Advanced System Integration

EUROSOI+- FP of 38 30/06/ FINAL PUBLISHABLE SUMMARY REPORT

Enabling Breakthroughs In Technology

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Innovation to Advance Moore s Law Requires Core Technology Revolution

EMT 251 Introduction to IC Design

Beyond Immersion Patterning Enablers for the Next Decade

It s Time for 300mm Prime

2010 IRI Annual Meeting R&D in Transition

Manufacturing and Operational Excellence

Roadmap Semiconductor Equipment Innovation Agenda

Energy beam processing and the drive for ultra precision manufacturing

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

Application-Based Opportunities for Reused Fab Lines

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Introduction

FinFET vs. FD-SOI Key Advantages & Disadvantages

The Foundry Model is Coming to Molecular Diagnostics, Courtesy of the Semiconductor Industry.

Electronic Material Systems

LETTER FROM THE EXECUTIVE DIRECTOR FOREWORD BY JEFFREY KRAUSE

EE669: VLSI TECHNOLOGY

Integrated Circuit Technology (Course Code: EE662) Lecture 1: Introduction

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

David B. Miller Vice President & General Manager September 28, 2005

Lecture Introduction

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

4Q02 Update: Semiconductor Capacity Still on Hold

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

Fabricating 2.5D, 3D, 5.5D Devices

Running the Commercialization Rapids with New Technology

Variation-Aware Design for Nanometer Generation LSI

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

Photolithography I ( Part 1 )

Contrasting Quality Inspections and Engineering Inspection for Counterfeit Detection

Lecture #29. Moore s Law

SUNY Poly in a New Era

At A Glance. - Wendell Weeks, Chairman, CEO, and President

Semiconductor Process Diagnosis and Prognosis for DSfM

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Are You Really Going to Package That? Ira Feldman Debbora Ahlgren

Competitive in Mainstream Products

The Future of Packaging and Cu Wire Bonding Advances. Ivy Qin

At A Glance. - Wendell Weeks, Chairman, CEO, and President

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

GSM OPTICAL MONITORING FOR HIGH PRECISION THIN FILM DEPOSITION

The future of lithography and its impact on design

Scaling of Semiconductor Integrated Circuits and EUV Lithography

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

Transcription:

CMP: Where have we been and where are we headed next? Robert L. Rhoades, Ph.D. NCCAVS CMPUG Meeting at Semicon West San Francisco, July 10, 2013

Outline Where have we been? Semiconductor Industry Birth of a Sub-Industry (Early Years) CMP Evolution and Revolution Maturing Where are we headed next? Splintering into 3 sub-segments Direction depends on end user market Expect slippery curves on the road ahead 2

Author s Note Opinions given in this talk do not necessarily represent the official position of any of the companies, organizations or individuals involved. Opinions also do not necessarily represent this conference, its sponsors, or virtually anyone else. Your mileage may vary. 3

A Industry Market Divided Trends Moore s Law dominated the CMOS industry for >40 years Not affected by cycles, markets, analysts, or the economy Photolithography and CMP are two critical process technologies to continue both cost and performance improvements Photolithography enables SHRINKS CMP enables more complex STACKS Trend still holds for certain industry segments, but many companies are choosing to pursue other paths CMP is also being used in numerous other technologies beyond CMOS Source: Intel Corporation 4

Semiconductor Market $400 $350 Past: 1) Inception ~ 2003 Social Networking Entertainment $300 2) Primary End User = Government & Corporate 3) Product Driver = Performance & Power Broadband $250 4) Market size $150B Routers Cell Phones $200 Servers Internet Present: $150 $100 $50 Transistor Military Mainframes Calculators Apple IBM PC 386 MPU 486 MPU Pentium 1) 2004 ~ 2015 2) Primary End User = Consumer 3) Product Driver = Mobility & Connectivity 4) Market size $150-$350B $0 Source: SIA/WSTS and Semico Research Corp. 5

History CMP = Chemical Mechanical Planarization (or Polishing) Adapted from optical lens polishing methods, i.e. telescope mirrors Timeline: 1983 Process invented at IBM Base Technology Lab in East Fishkill, NY 1986 Oxide CMP development and pilot line 1988 Tungsten CMP development (East Fishkill and Yorktown Heights) 1988 Sematech CMP project launched 1992 CMP first included in SIA roadmap 1995 Industry embraces CMP. Ramping in numerous fabs. Traditional silicon polishing is actually CMP as well (and much older) CMP is now accepted as a mainstream process in fabs worldwide 6

Early Adopters Early Device Manufacturers (after IBM) Intel, Micron, Motorola, Texas Instruments, National, Rockwell Equipment OEM s Westech (later IPEC then Speedfam-IPEC then Novellus now Lam) Strasbaugh 2 nd wave included Ebara, Speedfam, Cybeq, Applied Materials, etc. Consumable Suppliers (Market share leaders) Slurries: Cabot, Rodel, and several homebrews (IBM, Intel, etc.) Pads: Rodel (Dow), Thomas West, Universal Photonics 7

Early Years Birth of a sub-industry: Mid-1980 s to mid-1990 s Trends Immature consumables supply chain Strong growth for the few established suppliers High gross margins for best performers Lots of market entrants attracted by high CAGR Numerous homebrew slurries at early adopter fabs At least 5 polisher OEM s in contention (plus several startups) Paranoia surrounded even the simplest process secrets 8

Early Stage General factors Poor understanding of pad-slurry-film interactions Poor understanding of defect mechanisms Tolerance for variability was high Removal rate was often the primary focus for process engineers Uniformity was tough to control and often drifted >10% 1-sigma Defectivity was important but not dominant in most fabs Decisions: Performance then Consistency then Cost (a distant 3 rd ) 9

Evolving Years Growth and Evolution: Mid-1990 s to early 2000 s Market Trends Maturing consumables supply chain Continued strong growth for established suppliers Splintering market among fab users inconsistent expectations Declining gross margins for many consumables products Most homebrew slurries starting to wane Copper CMP became process of choice for advanced nodes, but ILD with tungsten plugs remains strong for most other devices Emergence of 2 dominant polisher OEM s (AMAT and Ebara) 10

Evolving Stage Other factors impacting CMP Improving understanding of pad-slurry-film interactions More customers starting to design for CMP Emergence of strong programs in academic R&D Tolerance for variability in CMP was dropping fast Tolerance for rookie mistakes from new suppliers also dropping Shrinking feature sizes drove rapid change in defect requirements Plenty of evolution and a few pockets of revolution Oxide slurries evolved to tighter PSD s and better batch to batch consistency Tungsten slurry saw revolution from Al2O3 with ferric nitrate to SSW-2000 STI slurries first split into 2 camps: Direct STI and STI with REB then revolution to ceria slurries Cu slurries came on strong as the new drivers for both technology and commercial impact, but quickly started seeing price erosion in the market Decisions: Consistency then Performance then Cost (a close 3rd) 11

Maturing Years Maturing: Early 2000 s to present day Market Trends Mature slurry supply chain Growth now only slightly higher than semiconductor CAGR Some products nearly commoditized A few slurries are roughly same cost per POU gallon as gasoline No recent slurry market entrants, but still not much consolidation Each application acts almost like an independent slurry market Tougher to capture Splintered market among fab users Leading Edge Still focused on maximum performance (Semi Roadmap) More than Moore Extreme cost focus, stay with proven process technology Emerging Technology New materials, new devices, uncertain volumes 12

Industry Segments Particularly from a CMP perspective Leading Edge Devices Wafer sizes: 300mm & likely 450mm Technology nodes: 32nm, 25nm and below Materials: high k, metal gates, ULK, advanced barriers, etc. Mainstream or More than Moore Wafer sizes: 300mm (some), 200mm & 150mm Technology nodes: 90nm to 0.5mm and above Materials for CMP: oxides, tungsten, Cu, etc. Developing advanced 3D packaging with TSV s Photo displayed with permission of Freescale Semiconductor, Inc. Emerging Technology Wafer sizes: 200mm, 150mm, 100mm and smaller Technology nodes: various Materials: Wide range of metals, oxides, polymers, and more MEMS, nanotechnology, SiC, GaN, optics, etc. 13

Maturing Stage Factors impacting CMP now Extreme price increases for CeO2 (moderating in past year) Improved understanding of pad surface texture (& pad conditioning) Tolerance for variability nearing zero in advanced architectures Many fab engineering teams are now very short staffed Fabs expect suppliers to provide stronger applications support Evolution of endpoint and APC for advanced nodes Splintered market drives splintered CMP requirements Leading Edge Defectivity is king, need lower rates for ultrathin films More than Moore Willing to trade some performance for lower cost Emerging Technology New materials and wildly different performance demands Decisions: Depends somewhat on target market, but generally now Cost focused assuming Performance and Consistency are a given 14

CMP Direction Simple Question: Where is CMP headed next? Does not have a simple answer more like 3 answers Leading Edge Fabs Performance is still primary focus, but cost is also important Finely tuned slurries and optimized processes Will try new pads, conditioning, etc. to solve problems 450mm is likely for the top tier but timing is still unclear Defectivity is mission critical and often difficult to even define in traditional language for devices at 22nm and below CMP is aiming for Maximum Performance 15

CMP Direction More than Moore Fabs Cost focus is extreme, but still requires acceptable performance Trying to drive all consumables toward commodity pricing Consistency and yield are mission critical CMP is aiming for Minimum Cost Emerging Technology Projects Often involve new materials and new integrations Focus is on achieving acceptable yield and ramping into HVM Willing to try new formulations, new methods whatever works! CMP is aiming for Innovative Solutions 16

What s Next? CMP will continue to evolve, but in different ways depending on the end user s target market Leading Edge Performance is still primary but cost is also key More than Moore Cost focus will drive toward commodity consumables (slurries, pads, conditioning, cleaners, etc.) Emerging Technology New devices drive strong innovation In established CMP processes, two factors dominate: and It s a bit of an uncomfortable marriage! 17

Acknowledgements Many thanks to the following: Terry Pfau, Paul Lenkersdorfer, Donna Grannis of Entrepix Customers and colleagues for valuable inputs and insights For additional information, please contact: Robert L. Rhoades, Ph.D. Entrepix, Inc. Chief Technology Officer +1.602.426.8668 rrhoades@entrepix.com 18