Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy

Similar documents
Ridgetop Group, Inc.

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose

Introducing Pulsing into Reliability Tests for Advanced CMOS Technologies

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

NAME: Last First Signature

MOSFET short channel effects

Lecture #29. Moore s Law

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

Wafer Level Reliability Test Application

Solid State Devices- Part- II. Module- IV

Education on CMOS RF Circuit Reliability

WHITE PAPER CIRCUIT LEVEL AGING SIMULATIONS PREDICT THE LONG-TERM BEHAVIOR OF ICS

LSI ON GLASS SUBSTRATES

UNIT-1 Fundamentals of Low Power VLSI Design

Semiconductor TCAD Tools

Semiconductor Physics and Devices

Integrated Circuit Intrinsic Reliability

Effect of Aging on Power Integrity of Digital Integrated Circuits

INTRODUCTION: Basic operating principle of a MOSFET:

Session 10: Solid State Physics MOSFET

2014, IJARCSSE All Rights Reserved Page 1352

PAPER SOLUTION_DECEMBER_2014_VLSI_DESIGN_ETRX_SEM_VII Prepared by Girish Gidaye

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Data Remanence in Semiconductor Devices

Tunneling Field Effect Transistors for Low Power ULSI

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

CHAPTER 2 LITERATURE REVIEW

Reliability of deep submicron MOSFETs

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect

Intel s Breakthrough in High-K Gate Dielectric Drives Moore s Law Well into the Future

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates

V A ( ) 2 = A. For Vbe = 0.4V: Ic = 7.34 * 10-8 A. For Vbe = 0.5V: Ic = 3.49 * 10-6 A. For Vbe = 0.6V: Ic = 1.

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

A Methodology for Measuring Transistor Ageing Effects Towards Accurate Reliability Simulation

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology

Future MOSFET Devices using high-k (TiO 2 ) dielectric

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

ECE 340 Lecture 40 : MOSFET I

As Semiconductor Devices Shrink so do their Reliability and Lifetimes

FUNDAMENTALS OF MODERN VLSI DEVICES

SRM INSTITUTE OF SCIENCE AND TECHNOLOGY (DEEMED UNIVERSITY)

ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline:

EE70 - Intro. Electronics

Test Structures Basics Part 1

On-Chip Silicon Odometers and their Potential Use in Medical Electronics

Journal of Electron Devices, Vol. 20, 2014, pp

EE5320: Analog IC Design

FinFET-based Design for Robust Nanoscale SRAM

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA

Atoms and Valence Electrons

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Surface Potential Modelling of Hot Carrier Degradation in CMOS Technology. Kiraneswar Muthuseenu

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Digital Integrated Circuits A Design Perspective. The Devices. Digital Integrated Circuits 2nd Devices

Lecture 4. MOS transistor theory

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

Performance Evaluation of MISISFET- TCAD Simulation

Degradation mechanisms in gate-all-around silicon Nanowire field effect transistor under electrostatic discharge stress a modeling approach

Study Of Oxide Breakdown, Hot Carrier And Nbti Effects On Mos Device And Circuit Reliability

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

TDDB Time Depending Dielectric Breakdown. NBTI Negative Bias Temperature Instability. Human Body Model / Machine Model

IOLTS th IEEE International On-Line Testing Symposium

INTRODUCTION TO MOS TECHNOLOGY

value of W max for the device. The at band voltage is -0.9 V. Problem 5: An Al-gate n-channel MOS capacitor has a doping of N a = cm ;3. The oxi

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Topic 2. Basic MOS theory & SPICE simulation

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

ITT Technical Institute. ET215 Devices 1. Unit 8 Chapter 4, Sections

Advanced PDK and Technologies accessible through ASCENT

Resonant Tunneling Device. Kalpesh Raval

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

Lecture Integrated circuits era

FET(Field Effect Transistor)

Impact of Interconnect Length on. Degradation

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

Power dissipation in CMOS

a leap ahead in analog

ECE 440 Lecture 39 : MOSFET-II

PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits

MICROPROCESSOR TECHNOLOGY

Performance advancement of High-K dielectric MOSFET

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

How material engineering contributes to delivering innovation in the hyper connected world

UNIT 3: FIELD EFFECT TRANSISTORS

III-V CMOS: Quo Vadis?

ATV 2011: Computer Engineering

Basic Fabrication Steps

Reliable Electronics? Precise Current Measurements May Tell You Otherwise. Hans Manhaeve. Ridgetop Europe

+1 (479)

Variation Analysis of CMOS Technologies Using Surface-Potential MOSFET Model

Intel s High-k/Metal Gate Announcement. November 4th, 2003

Transcription:

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy 1

IC Failure Modes Affecting Reliability Via/metallization failure mechanisms Electro migration Stress migration Transistor failure mechanisms Time-dependent dielectric breakdown (TDDB) Hot carrier degradation NBTI and PBTI 2

IC Failure Modes Affecting Reliability Failure Mode Physics System Effect NBTI (PMOS) / PBTI (NMOS) TDDB Negative V t shift Slower speed Soft Breakdown: Slower speed Weakened gate oxide Increased leakage current Hard Breakdown/Punch through Timing Faults in Processors Resettable but increasing severity over time Increased ESD Vulnerability Non resettable timing faults Catastrophic Short Hot Carrier (NMOS) Metal Migration (Stress migration, electromigration) Positive V t shift Change in sub threshold swing Higher resistance in Via connections Open circuits Increased Off state power Increased current draw Decreased data retention time in DRAM Catastrophic Open 3

Electromigration Stress Migration Electromigration Transport of material caused by the gradual movement of the ions in a conductor due to the momentum transfer between conducting electrons and diffusing metal atoms. Known for more than 100 years, but became of practical interest with the advent of Semiconductor technologies Effects are occurring primarily at the boundaries and material interfaces Cu is intrinsically less sensitive to EM than Al but scaling and increasing current densities are pushing the limits Stress Migration Results from tensile stress due TCE mismatch of materials Stress relaxation over time through diffusion of vacancies leads to the formation of voids 4

Via/Metallization Failure Mechanisms 5

Electromigration (physical mechanism) 6

Electromigration (temperature dependency) Reported data from fast Wafer Level Reliability (fwlr) tests shows that every 50 C increase in the stress temperature will reduce the electromigration testing time by one order of magnitude. Ki Don Lee, et al., VIA PROCESSING EFFECTS ON ELECTROMIGRATION IN 65 NM TECHNOLOGY, 44th Annual International Reliability Physics Symposium, San Jose, 2006. 7

Transistor Failure Mechanisms MOS transistor 8

Transistor Failure Mechanisms 9

Time Dependent Dielectric Breakdown Failure mechanism in MOSFETs, when the gate oxide breaks down as a result of long-time application of relatively low electric field (as opposite to immediate breakdown, which is caused by strong electric field). The breakdown is caused by formation of a conducting path through the gate oxide to substrate due to electron tunnelling current, when MOSFETs are operated close to or beyond their specified operating voltages. 10

Time Dependent Dielectric Breakdown (TDDB) TDDB influenced by: Smaller Geometry More Tunneling Thinner Oxides (Tox) Substrate Injection (NMOS) Effects: Increased Noise Increased Power Switching characteristics Eventual wear-out and failure 11

TDDB (types of breakdown) 12

TDDB (types of breakdown) 13

TDDB (DC stress vs. AC stress) 14

Hot Carrier Injection (HCI) Degradation A phenomenon in which an electron or a hole gains sufficient kinetic energy to overcome a potential barrier necessary to break an interface state. To become hot and enter the conduction band of SiO2, an electron must gain a kinetic energy of 3.3 ev. For holes, the valence band offset in this case dictates they must have a kinetic energy of 4.6 ev. The term "hot electron" comes from the effective temperature term used when modelling carrier density and does not refer to the actual temperature of anything. High temperatures caused by the effect are unrelated to the phrase "hot electron effect". Carrier is injected from channel into gate dielectric Effects include heating of the device and increased leakage current Heating is caused by hot electrons giving off their excess energy as phonons. 15

Hot Carrier Degradation 3580 West Ina Road, Tucson AZ 85741 520-742-3300 ridgetopgroup.com 16

Hot Carrier Degradation 17

Hot Carrier Degradation 18 3580 West Ina Road, Tucson AZ 85741 520-742-3300 ridgetopgroup.com 18

Hot Carrier Degradation 19

NBTI and PBTI Negative bias temperature instability (NBTI) is a key reliability issue in MOSFETs. Of immediate concern for pmos operate almost always with negative gate-to-source voltage The very same mechanism affects also nmos when biased in the accumulation regime (PBTI) NBTI manifests as An increase in threshold voltage A decrease in drain current and transconductance The degradation has logarithmic dependence on time Two kinds of trap contribute to NBTI: Interface traps cannot be recovered over a reasonable time of operation - permanent traps similar to the ones resulting from HCI In case of NBTI, the electric field breaks Si-H bonds located at the SiO2 interface. H is released and migrates in the substrate. The remaining dangling bond Si- (Pb center) contribute to the threshold voltage degradation. Pre-existing traps located in the bulk of the dielectric (and supposedly nitrogen related), are filled with holes coming from the PMOS channel. Those traps can be emptied when the stress voltage is removed. This Vth degradation can be recovered over time. (Annealing effect) 20

NBTI and PBTI 21

NBTI and PBTI (SiO 2 gate) 22

NBTI and PBTI (high k gate) 23

NBTI and PBTI (SiO 2 vs. high k) 24

NBTI and PBTI (relaxation) 25

NBTI and PBTI (relaxation) 26

NBTI and PBTI (DC vs. AC stress) 27

Mitigation: Process Data Semiconductor processing always yield a distribution of parameter values Minimum geometries have larger fluctuations Smaller feature size & lower voltages increase the impact of variation of transistor properties on chip performance and yield Foundry-supplied Process Design Kit (PDK) may not give sufficiently accurate data for critical design parameters 28

Mitigation: Addressing Nanoscale Reliability Issues Use Additional Design Margin Increased power consumption Impacts overall circuit performance Collect Accurate Process information Lifetime Reliability Monitoring Real-time operating embedded sensors Actual State of Health for critical paths Early warning of impending failure 29

ProChek Semiconductor Reliability Characterization System 30

Characteristics of ProChek Targets bulk CMOS, SOI, SiGe reliability concerns NBTI / PBTI, TDDB, HC, EM, SM Test Coupon As little as 1 * 1mm chip area MPW for lower cost 32 1024 devices can be tested in parallel for maximum throughput On chip per transistor heaters to 325 C, greatly reducing test time Synthesizable (except for on chip heaters) to speed deployment Bench top Tester Fully programmable test conditions cover DC and AC stress cases Portable and compact ATE not needed Host Controller Easy to use software GUI Rich suite of built in reliability test templates Data processing capabilities 31

Sentinel Silicon Die Level Prognostic Solutions and Applications 32

Calibrated Prognostic Distance Stress/Accelerate: T1 = 99% failure in canary T2 = 1% failure in host Prognostic Distance = T2 T1 33

Questions? Esko Mikkola, Ph.D. Senior Principal Engineer Esko.Mikkola@RidgetopGroup.com 520-742-3300 x141 (office) Andrew Levy Director, Semiconductor & Precision Instruments Division Andrew.Levy@RidgetopGroup.com 520-742-3300 x115 (office) 503-320-5466 (mobile) Ridgetop Group Inc. 3580 West Ina Road Tucson, AZ 85741 34