Status and challenges of EUV Lithography

Similar documents
EUV lithography: status, future requirements and challenges

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

EUVL getting ready for volume introduction

EUV lithography: today and tomorrow

EUV Supporting Moore s Law

EUVL Exposure Tools for HVM: It s Under (and About) Control

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Holistic View of Lithography for Double Patterning. Skip Miller ASML

High-NA EUV lithography enabling Moore s law in the next decade

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

Imaging for the next decade

Optics for EUV Lithography

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

NXE: 3300B qualified to support customer product development

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Optics for EUV Production

Mask Technology Development in Extreme-Ultraviolet Lithography

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Progress in full field EUV lithography program at IMEC

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Metrology in the context of holistic Lithography

R&D Status and Key Technical and Implementation Challenges for EUV HVM

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Leadership Through Innovation Litho for the future

Competitive in Mainstream Products

Update on 193nm immersion exposure tool

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Lithography on the Edge

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Next-generation DUV light source technologies for 10nm and below

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

From ArF Immersion to EUV Lithography

Enabling Semiconductor Innovation and Growth

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Optical Microlithography XXVIII

Scope and Limit of Lithography to the End of Moore s Law

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Advanced Patterning Techniques for 22nm HP and beyond

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

EUV Light Source The Path to HVM Scalability in Practice

Beyond Immersion Patterning Enablers for the Next Decade

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

ASML s customer magazine

Evaluation of Technology Options by Lithography Simulation

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

EUVL: Challenges to Manufacturing Insertion

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

EUVL: Challenges to Manufacturing Insertion

EUV is progressing towards production

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Nikon EUVL Development Progress Update

Process Optimization

Newer process technology (since 1999) includes :

16nm with 193nm Immersion Lithography and Double Exposure

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Present Status and Future Prospects of EUV Lithography

Demonstration of 22-nm half pitch resolution on the SHARP EUV microscope

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

(Complementary E-Beam Lithography)

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Innovative Mask Aligner Lithography for MEMS and Packaging

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Laser bandwidth effect on overlay budget and imaging for the 45 nm and 32nm technology nodes with immersion lithography

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

Optical Maskless Lithography - OML

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

DSA and 193 immersion lithography

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Novel EUV Resist Development for Sub-14nm Half Pitch

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Mask magnification at the 45-nm node and beyond

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

EUV Lithography Transition from Research to Commercialization

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Transcription:

Status and challenges of EUV Lithography SEMICON Europa Dresden, Germany Jan-Willem van der Horst Product Manager EUV October 10 th, 2013

Slide 2 Contents Introduction NXE:3100 NXE:3300B Summary and acknowledgements

Resolution / half / pitch, "Shrink" Shrink [nm] [nm] Industry roadmap towards < 10 nm resolution Lithography roadmap supports continued shrink 200 Slide 3 100 80 60 50 40 30 20 AT:1200 XT:1400 XT:1700i XT:1900i NAND 17% DRAM 13.9% Logic 14.1% NXT:1950i NXE:3100 2 NXT:1960Bi NXE:3300B 3 NXT:1970Ci 4 n Single Exposure 2D LE n Patterning 1D SADP 1D SAQP LE = Litho-Etch, n = number of iterations SADP = Self Aligned Double Patterning SAQP = Self Aligned Quadruple Patterning 10 2 2 6 2002 2004 2006 2008 2010 2012 2014 2016 2018 2020 Year of Production start * * Note: Process development 1.5 ~ 2 years in advance

EUV reduces Cost and Cycle Time vs. Multiple Patterning Slide 4 Relative to EUV LE 2 SADP SAQP Process Steps x2 x4 x5 Process Cost +10% +30~50% +>50% Cycle Time x2 x4 x5 LE = Litho-Etch, n = number of iterations SADP = Self Aligned Double Patterning SAQP = Self Aligned Quadruple Patterning ArF i ArF i ArF i LE 2 SADP SAQP EUV

Normalized die size [%] EUV enables 50% Scaling for the 10 nm node Layout restrictions and litho performance limit shrink to ~25% using immersion Slide 5 Triple patterning does not show a process window Reference N20/16 double patterning triple patterning EUV Source: ARM, Scaled 20nm flip-flop design EUV meets all litho requirements

NXE technology roadmap has extendibility to <7nm Slide 6 Under study Resolution [nm] 32 27 22 16 13 10 7 <7 Wavelength [nm] layo ut NA 0.25 0.33 0.33NA DPT >0.5 DPT NXE:3100 NXE:3300B Lens flare 8% 6% 4% 13.5 Illumination Overlay coherence DCO [nm] s=0.5 s=0.8 s=0.2-0.9 7 4.0 3.0 MMO [nm] - 7.0 5.0 Flex-OAI Extended Flex-OAI reduced pupil fill ratio 1.5 1.2 1.0 2.5 2.0 1.7 pupil fill ratio defined as the bright fraction of the pupil Dose [mj/cm 2 ] 5 10 15 TPT (300mm) Throughphut [w/hr] 6-60 Power [W] 3 10-105 80-250 15 250 20 250-50 - 125 125 125 20 500 165

ASML s NXE:3100 and NXE:3300B Slide 7 NXE:3100 NXE:3300B NA 0.25 0.33 Illumination Conventional 0.8 s Conventional 0.9 s Off-axis illumination Resolution 27 nm 22 nm Dedicated Chuck Overlay / Matched Maching Overlay 4.0 nm / 7.0 nm 3.0 nm / 5.0 nm Productivity 6-60 Wafers / hour 50-125 Wafers / hour Resist Dose 10 mj / cm2 15 mj / cm2

Slide 8 Contents General NXE:3100 NXE:3300B Summary and acknowledgements

NXE:3100 in use at customers for cycles of learning Slide 9 Data courtesy of TSMC

NXE:3100 shows stable performance Slide 10 Data courtesy of imec

Slide 11 Contents General NXE:3100 NXE:3300B Summary and acknowledgements

Eleven NXE:3300B systems in various states of integration Slide 12 System 1 Qualified Development tool System 9 System 2 Qualified System 3 System 6 EUV cleanroom System 4 System 7 System 5 System 8 System 10 Training System 11

Eleven NXE:3300B systems in various states of integration Slide 13 System 1 Qualified Development tool System 9 System 2 Qualified System 6 Building EUV cleanroom extension started System 3 System 7 System 4 System 5 System 8 System 10 Training System 11

Matched Machine Overlay NXE- immersion [nm] Dedicated Chuck Overlay [nm] NXE:3300B imaging and overlay beyond expectations matched overlay to immersion ~3.5nm Slide 14 Scanner qualification 8 6 Lot (1.3,1.3) X Y Filtered S2F Chuck 1 (S2F) 22nm HP BE = 15.9 mj/cm 2 EL = 13% DoF = 160 nm Full wafer CDU = 1.5nm 4 2 0 1.3 1.0 1.2 1.4 1.4 1.3 1 2 3 Day 5 nm 99.7% x: 1.3 nm y: 1.3 nm Scanner capability 9 nm HP 8 6 4 Lot (3.4,3.0) 3.5 2.7 3.0 2.3 3.2 3.3 X Y Filtered S2F (S2F) 13 nm HP 18 nm HP 23 nm HP Single exposure EUV Spacer 2 0 1 2 3 Day 5 nm XT:1950i reference wafers EEXY sub-recipes 18par (avg. field) + CPE (6 par per field) 99.7% x: 3.4 nm y: 3.0 nm

Lens performance consistent and exceeds requirements population for NXE:3300B Slide 15 Every bar is an individual lens Data courtesy of Carl Zeiss SMT GmbH

Resolution shown on NXE:3300B for dense line spaces, regular and staggered contact holes; all single exposures Slide 16 14nm HP 14nm HP 18nm HP 19nm HP 13nm HP 13nm HP 17nm HP 18nm HP Dipole30, Chemically Amplified Resist (CAR) Dipole45, Inpria Resist Quasar 30 (CAR) Large Annular (CAR)

focus NXE:3300B enables single exposure random logic metal layer with large DoF minimum HP 23 nm (N10 logic cell) -80nm -60nm -40nm -20nm 0nm 20nm EUV Node: N10 (23nm HP) 1 st insertion point for EUV Single Exposure Conventional illumination Best focus difference ~10nm Overlapping DoF current 100..120nm (expected to improve after further optimization (e.g. OPC)) ArF immersion Node: N20 (32nm HP) Double Patterning (design split) Best focus difference up to 40-60nm Overlapping DoF typical 60nm Slide 17 40nm 60nm 80nm Position in the exposure slit -12mm 0mm +12mm Excellent print performance over the full exposure slit

EUV enables aggressive shrink on 2D logic shrink possible beyond N7 node requirement 75nm ArFi (SE) Slide 18 50nm 31nm EUV (SE)* MEEF Tip2Line 22nm 1D ArFi (DPT) EUV (SE) 16nm 1st insertion point for EUV Node * using high dose resist @ ~50mJ

Exposure latitude (%) NXE:3300B FlexPupil enhances process window Enabling further shrink at 0.33-NA Custom pupil definition enabled by mirror addressing programmability 10 8 Logic N7 example Standard Advanced Optimized Slide 19 Field Facet Mirrors 6 Custom 4 Intermediate Focus Bi-state 2x positions In pupil Pupil Facet Mirrors 2 0 Local interconnect layer Bright field Feature width = 12 nm Feature pitch = 32 nm 0 20 40 60 80 100 120 140 Depth of focus (nm) Simulations by Tachyon SMO NXE

Exposure latitude (%) NXE:3300B FlexPupil enhances process window Enabling further shrink at 0.33-NA Custom pupil definition enabled by mirror addressing programmability 10 8 Logic N7 example Standard Advanced Optimized Slide 20 Field Facet Mirrors 6 Custom 4 Intermediate Focus Bi-state 2x positions In pupil Pupil Facet Mirrors 2 0 Local interconnect layer Bright field Feature width = 12 nm Feature pitch = 32 nm 0 20 40 60 80 100 120 140 Depth of focus (nm) Simulations by Tachyon SMO NXE

With Off-axis illumination required dose lowered by 16% tip2tip printed gap size down to ~30nm with Quasar illumination Slide 21 ~N10 Tip2Tip print gap as function of dose and illumination (design gap 20nm) 35nm Conventional ~N7 30nm Quasar45 Tip2tip print gap sizes down to 30nm with Quasar illumination With off-axis illumination printed T2T gap can be reduced on average by ~5nm, as compared to conventional illumination. Printed T2T gap of 35nm can be printed at ~16% lower dose, as compared to conventional illumination.

Beam Transport Intermediate Focus Unit EUV source concept: CO2 drive laser hitting tin droplet, generating a plasma that emits 13.5nm light Slide 22 CO2-droplet Metrology Main Pulse / Pre Pulse split Focusing Plasma+Energy Control Machine Control Droplet Generator Collector x z Vessel EUV&droplet Metrology Scanner metrology for source to scanner alignment Tin catch Source Pedestal Fab Floor CO2 system Fab Floor Scanner Pedestal Power Amplifiers PP&MP Seed unit Sub-fab Floor Courtesy of Cymer

Dose Error [%] Power [W] 40W stable dose control performance for six 1-hours for MOPA-PrePulse 41 Slide 23 40.5 40 Data taken under demonstrated collector protection conditions 39.5 39 1 0.5 0-0.5 50 100 150 200 250 300 350 400 450 500 Time [min] -1 0 50 100 150 200 250 300 350 400 450 500 Time [min] Data courtesy of Cymer 196 equivalent wafer exposures with 99.99% die yield

Dose Error [%] Dose Error [%] Dose Error [%] Power [W] Power [W] Power [W] 50W MOPA Prepulse EUV Power and Dose Stability Dose Stability <±0.5%, Die Yield >99.7% Slide 24 51 50.8 50.6 50.4 50.2 50 49.8 49.6 49.4 49.2 49 0 10 20 30 40 50 60 Time [min] 51 50.8 50.6 50.4 50.2 50 49.8 49.6 49.4 49.2 49 0 10 20 30 40 50 60 Time [min] 51 50.8 50.6 50.4 50.2 50 49.8 49.6 49.4 49.2 49 0 10 20 30 40 50 60 Time [min] 1 0.8 0.6 0.4 1 0.8 0.6 0.4 1 0.8 0.6 0.4 0.2 0-0.2-0.4-0.6-0.8 Data courtesy of Cymer -1 0 10 20 30 40 50 60 Time [min] 0.2 0-0.2-0.4-0.6-0.8-1 0 10 20 30 40 50 60 Time [min] 0.2 0-0.2-0.4-0.6-0.8-1 0 10 20 30 40 50 60 Time [min]

EUV SOURCE POWER PROGRESS 50W Repeatable Power, Dose In Spec, ~40Wafers/Hour, 250W Target To Be Reached In 2015 Confidential Slide 25 80W enabled by 3300 drive laser 250W enabled by high-power drive & seed laser, independent pre-pulse, 80kHz repetition rate

Added particles > 92 nm per reticle pass The mask defect challenge ASML achieved 10x per year improvement for pellicle-less operation (pellicle would reduce defect requirements substantially) EUV Reticles (13.5nm) Slide 26 24 hr test time limit @ 96 nm Reticle Reflective multilayer Absorber pattern Progress made on ASML machines on added particles per reticle exchange over the past few years @ 30 nm Reflected illumination Target performance for full production without pellicle @ 20 nm Particle (nm size)

Added particles > 92 nm per reticle pass The mask defect challenge ASML achieved 10x per year improvement for pellicle-less operation (pellicle would reduce defect requirements substantially) Required for full production with pellicle @ 20 nm 24 hr test time limit @ 96 nm EUV Reticles (13.5nm) Reticle Reflective multilayer Absorber pattern Pellicle Slide 27 @ 30 nm Reflected illumination Particle (mm size) Progress made on ASML machines on added particles per reticle exchange over the past few years

The mask defect challenge EUV pellicle considered as backup with minimum transmission and imaging loss 25 nm Multi Multi Lattice Lattice pellicle, 25 nm 25 nm thickness, 60 mm Target full size 110x144 mm² Transmission: Required >90% Achieved ~80% EUV Reticles (13.5nm) Reticle Reflective multilayer Absorber pattern Slide 28 Poly Poly Silicon Silicon pellicle pellicle 55 55 nm nm thickness thickness > 80% transmission 80x80 mm Reflected illumination Pellicle Particle (mm size)

The mask defect challenge EUV pellicle considered as backup with minimum transmission and imaging loss Multi Lattice pellicle, 25 nm thickness, Target full size 110x144 mm² Transmission: Required >90% Achieved ~80% EUV Reticles (13.5nm) Reticle Reflective multilayer Absorber pattern Slide 29 Poly Silicon pellicle 55 nm thickness Pellicle Reflected illumination Particle (mm size)

Slide 30 Contents General NXE:3100 NXE:3300B Summary and acknowledgements

Conclusions Slide 31 Several EUV scanner in use at customer for cycles of learning and showing stable performance EUV imaging and overlay performance meets customer requirements for 1x node and below Roadmap towards 250W source power enabling exposures at 125 wafers per hour in place EUV mask defectivity improvement by 10x/year achieved over past years Target remains to be build a particle free system; pellicle development ongoing as backup solution

Acknowledgements Slide 32 The work presented today, is the result of hard work and dedication of teams at ASML and many technology partners worldwide including our customers Special thanks to our partners and customers for allowing us to use some of their data in this presentation ASML and partners are grateful to the Dutch, German Flemish and French governments for their financial contributions and to the CATRENE organization

Acknowledgement Slide 33 Special thanks to: Rudy Peeters a, Sjoerd Lok a, Martijn van Noordenburg a, Noreen Harned a, Peter Kuerz b, Martin Lowisch b, Henk Meijer a, David Ockwell a, Eelco van Setten a, Paul van Adrichem a, Alberto Pirati a, Robert Kazinczi a, Judon Stoeldraijer a, Herman Boom a, Frank Driessen a, Keith Gronlund c, Gary Zhang c, James Koonmen c, Hans Meiling a, Ron Kool a a ASML Netherlands B.V., De Run 6501, 5504 DR Veldhoven, The Netherlands b Carl Zeiss SMT AG, 73446 Oberkochen, Germany c ASML Brion, 4211 Burton Drive, Santa Clara (CA) 95054