Signal Processing Using Digital Technology

Similar documents
On Built-In Self-Test for Adders

Digital Integrated CircuitDesign

Multiplier and Accumulator Using Csla

Performance Analysis of FIR Filter Design Using Reconfigurable Mac Unit

SPIRO SOLUTIONS PVT LTD

Index Terms. Adaptive filters, Reconfigurable filter, circuit optimization, fixed-point arithmetic, least mean square (LMS) algorithms. 1.

Globally Asynchronous Locally Synchronous (GALS) Microprogrammed Parallel FIR Filter

DESIGN OF BINARY MULTIPLIER USING ADDERS

DESIGN OF LOW POWER HIGH SPEED ERROR TOLERANT ADDERS USING FPGA

DESIGN AND IMPLEMENTATION OF AREA EFFICIENT, LOW-POWER AND HIGH SPEED 128-BIT REGULAR SQUARE ROOT CARRY SELECT ADDER

International Journal of Engineering Research-Online A Peer Reviewed International Journal Articles available online

An Optimized Design for Parallel MAC based on Radix-4 MBA

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

The Comparative Study of FPGA based FIR Filter Design Using Optimized Convolution Method and Overlap Save Method

FIR Filter Design on Chip Using VHDL

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES

Senior Capstone Project Proposal Reconfigurable FPGA Implementation Of Digital Communication System

Design and Performance Analysis of a Reconfigurable Fir Filter

International Journal Of Scientific Research And Education Volume 3 Issue 6 Pages June-2015 ISSN (e): Website:

Design of a High Speed FIR Filter on FPGA by Using DA-OBC Algorithm

LOW POWER AND AREA EFFICIENT PARALLEL FIR DIGITAL FILTER STRUCTURE USING MODIFIED SQRT CARRY SELECT ADDER

ADVANCES in NATURAL and APPLIED SCIENCES

NOVEL HIGH SPEED IMPLEMENTATION OF 32 BIT MULTIPLIER USING CSLA and CLAA

Performance Analysis of a 64-bit signed Multiplier with a Carry Select Adder Using VHDL

DESIGN OF LOW POWER MULTIPLIERS

CHAPTER 2 FIR ARCHITECTURE FOR THE FILTER BANK OF SPEECH PROCESSOR

Experiment # 4. Binary Addition & Subtraction. Eng. Waleed Y. Mousa

VLSI Implementation of Reconfigurable Low Power Fir Filter Architecture

Combinational Circuits DC-IV (Part I) Notes

Class Project: Low power Design of Electronic Circuits (ELEC 6970) 1

Design of 16-bit Heterogeneous Adder Architectures Using Different Homogeneous Adders

An 8-bit 8-stage FIR Filter using the CMOS 28nm FDSOI Technology

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

High Speed Binary Counters Based on Wallace Tree Multiplier in VHDL

Implementation of FPGA based Design for Digital Signal Processing

IES Digital Mock Test

Area Power and Delay Efficient Carry Select Adder (CSLA) Using Bit Excess Technique

DSP Design Lecture 1. Introduction and DSP Basics. Fredrik Edman, PhD

CSE 370 Winter Homework 5 Solutions

Computer Architecture and Organization:

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

A Low-Power Broad-Bandwidth Noise Cancellation VLSI Circuit Design for In-Ear Headphones

An Efficient Low Power and High Speed carry select adder using D-Flip Flop

Using FPGA. Warin Sootkaneung Department of Electrical Engineering. and

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Area and Delay Efficient Carry Select Adder using Carry Prediction Approach

MACGDI: Low Power MAC Based Filter Bank Using GDI Logic for Hearing Aid Applications

Design and Analysis of RNS Based FIR Filter Using Verilog Language

FPGA Implementation of High Speed FIR Filters and less power consumption structure

An Overview of the Decimation process and its VLSI implementation

FPGA IMPLEMENATION OF HIGH SPEED AND LOW POWER CARRY SAVE ADDER

Computer Arithmetic (2)

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen

High speed all digital phase locked loop (DPLL) using pipelined carrier synthesis techniques

FPGA Implementation of Wallace Tree Multiplier using CSLA / CLA

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES

A Survey on Power Reduction Techniques in FIR Filter

Design and Characterization of 16 Bit Multiplier Accumulator Based on Radix-2 Modified Booth Algorithm

A New High Speed Low Power Performance of 8- Bit Parallel Multiplier-Accumulator Using Modified Radix-2 Booth Encoded Algorithm

Multiple Constant Multiplication for Digit-Serial Implementation of Low Power FIR Filters

OPTIMIZATION OF LOW POWER USING FIR FILTER

Design of Area and Power Efficient FIR Filter Using Truncated Multiplier Technique

Design and Simulation of Low Power and Area Efficient 16x16 bit Hybrid Multiplier

Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing

EECS 452 Midterm Exam Winter 2012

VLSI Implementation of Cascaded Integrator Comb Filters for DSP Applications

Survey of VLSI Adders

Implementation and Comparison of Low Pass FIR Filter on FPGA Using Different Techniques

Digital Hearing Aids Specific μdsp Chip Design by Verilog HDL

FPGA Implementation of Adaptive Noise Canceller

DIGITAL ELECTRONICS QUESTION BANK

Reduced Complexity Wallace Tree Mulplier and Enhanced Carry Look-Ahead Adder for Digital FIR Filter

4:Combinational logic circuits. 3 July

Structural VHDL Implementation of Wallace Multiplier

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

Design of an optimized multiplier based on approximation logic

Design A Redundant Binary Multiplier Using Dual Logic Level Technique

JDT LOW POWER FIR FILTER ARCHITECTURE USING ACCUMULATOR BASED RADIX-2 MULTIPLIER

PROMINENT SPEED ARITHMETIC UNIT ARCHITECTURE FOR PROFICIENT ALU

Code No: R Set No. 1

An Efficient Method for Implementation of Convolution

Modified Design of High Speed Baugh Wooley Multiplier

Design of Adjustable Reconfigurable Wireless Single Core

CS302 - Digital Logic Design Glossary By

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

IMPLEMENTATION OF MULTIRATE SAMPLING ON FPGA WITH LOW COMPLEXITY FIR FILTERS

Tirupur, Tamilnadu, India 1 2

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) STUDY ON COMPARISON OF VARIOUS MULTIPLIERS

Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters

[Devi*, 5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

High Speed Non Linear Carry Select Adder Used In Wallace Tree Multiplier and In Radix-4 Booth Recorded Multiplier

KEYWORDS: FIR filter, Implementation of FIR filter, Micro programmed controller. Figure 1.1 block diagram of DSP

Resource Efficient Reconfigurable Processor for DSP Applications

Unit 3. Logic Design

GATE Online Free Material

Design and Simulation of Convolution Using Booth Encoded Wallace Tree Multiplier

COMPARISION OF LOW POWER AND DELAY USING BAUGH WOOLEY AND WALLACE TREE MULTIPLIERS

Data output signals May or may not be same a input signals

Minimization of Area and Power in Digital System Design for Digital Combinational Circuits

Transcription:

Signal Processing Using Digital Technology Jeremy Barsten Jeremy Stockwell May 6, 2003 Advisors: Dr. Thomas Stewart Dr. Vinod Prasad

Digital Signal Processor Project Description Design and Simulation of VLSI Processor Design and Simulation of the VHDL Processor Implemented on the Xilinx FPGA Board FPGA Problems.

Project Description All purpose digital signal processor using FPGA/VHDL and ASIC/VLSI technology. Useable for a variety of applications: Audio and Video Cellular Technology Adapted depending on the application.

Project Description High-level Block Diagram: Input Signal DIGITAL SIGNAL PROCESSOR Processed Signal

Filter Design Manipulating a digital input utilizing multipliers and adders. Direct Form II realization of an IIR Filter: X(n) w(n) b 0 y(n) Z -1 -a 1 b 1 Z -1 -a 2 b 2 w(n-1) W(n)=X(n)-a 1 W(n-1)-a 2 W(n-2) w(n-2) Y(n)=b 0 W(n)+b 1 W(n-1)+b 2 W(n-2)

Signal Converters Each signal will be analog in nature. Requires an analog-to-digital converter at the input stage and a digital-toanalog converter at the output stage. Tried to use the 8-bit A/D and D/A converters that were part of the Xilinx FPGA Version II Board.

Adder and Multiplier Any basic signal processor consists of different stages of addition and multiplication. A n-bit by n-bit multiplication will take place and result in a 2*n-bit value. This answer will be added to previous values stored in a data register (discussed later).

Adder Cell

Adder Cell

5-Bit Ripple-Carry Adder - Logical Design

5-Bit Ripple Carry Adder - VLSI Design

5-Bit Adder Simulation Added to 0

5-Bit Adder Simulation Added to -1

Cellular Multiplication Cellular Multiplication: a 3 a 2 a 1 a 0 b 0 b 1 b 2 b 3 p 7 p 6 p 5 p 4 p 3 p 2 p 1 p 0

Multiplier Cell - Logical Design

Multiplier Cell - VLSI Design

Multiplier Cell Simulation - Inputs

Multiplier Cell Simulation - Outputs

4-bit x 4-bit Multiplier

4-bit x 4-bit Multiplier

Multiplier Simulation Multiplied by 1

Adder and Multiplier For 2 s complement addition and multiplication: 2 s Complement Block Multiplier 2 s B L O C K 2 s Complement Block

2 s Complement Adjustment Need to add circuitry to make the multiplier 2 s complement ready. The values from the converter will always be positive but the coefficients will be negative. Need blocks on both inputs and the output of the multiplier. Special case: input of 10000 and output of 100000000.

2 s Compliment Adjustment Basic cell for the adjustment 2 s compliment circuit. C in SELECT a i A i B i Cout S out D 1 '1' Q D 0

2 s Compliment Adjustment 0 select a 0 1 Cell 1 i 0 a 1 0 Cell 2 i 1 i 2 a 2 0 Cell 3 a 3 0 Cell 4 i 3 c out

2 s Complement Adjustment The blocks on the previous slide will be cascaded to make the adjustment block for the output adjustment circuitry. The select bit for the input will be the sign bit anded with the carry-out bit. The select bit for the output will be the same, where the sign bit will be the two input sign bits xored together.

Signed Multiplier Simulation Multiplied by -1

Clock Cycle for Data Management 11 different stages that the VLSI processor must go thourgh to complete the required multiplication and addition. Used 12 D-type flip-flops to created the clock cycles required.

Clock Cycle for Data Management- Logical Design

Clock Cycle for Data Management- VLSI Design

Inputs to the Clock Controller

Outputs C1-C6 from the Clock Controller

Outputs C7-C11 from the Clock Controller

Cycles for the VLSI Processor Cycle Mul1 Mul2 Add1 Add2 Product Sum 1 a 1 ω(n-1) R mult 2 x(n) R mult R add 3 a 2 ω(n-2) ω(n) 4 R add R mult 5 b 0 ω(n) R temp 6 b 1 ω(n-1) R mult 7 R temp R mult R add 8 b 2 ω(n-2) R mult 9 R add R mult Y out 10 11 ω(n-1) -> ω(n-2) ω(n) -> ω(n-1)

VLSI Digital Signal Processor

VLSI Troubleshooting Needed to add overflow protection for the adder. Investigate the speed of the entire processor.

Investigation Behavioral v. Structural Ripple carry adder v. Carry look ahead adder. Parallel multiplier v. Serial multiplier.

Ripple Carry Adder * 16-bit ripple carry adder will have 34 gate delays

CLA Adder * 16-bit CLA adder will have 10 gate delays

Multiplier Advantages and disadvantages of using a parallel multiplier v. a serial multiplier. Speed v. Area

Area v. Speed Implemented serial and parallel multipliers in VHDL. Area Delay Area Delay Area Delay Serial Multiplier 7.14% 96.88ns 13.52% 210.8ns 24.49% 503.68ns Parallel Multiplier 10.71% 27.41ns 28% 38.76ns 68.50% 75.12ns

Booth s Multiplier To increase the speed a Modified Booth s Algorithm was used For (X)*(Y) Bit Operation Yi+1 Yi Y 0 0 0 add zero 0 0 1 add X 0 1 0 add X 0 1 1 add 2X 1 0 0 subtract 2X 1 0 1 Subtract X 1 1 0 Subtract X 1 1 1 Subtract 0

Booth s Multiplier For example (X*Y)= 4 (0100) * -3(1101) If it isn t an odd number of bits add a 0 to Y Segment multiplier (Y): 11010 1(010) 2(110) Segment # bits Action 1 010 Add X 2 110 Subtract X

Booth s Multiplier 0100 x1101 00000100 (Add X) 111100 (Sub X) 11110100 =-12 A N-bit multiplier requires N/2 adds Results in a 60 ns delay for a 16 bit multiplier

Data Management Data Management is necessary to load the appropriate data to the multiplier and adder at the appropriate time, and to store data for later use. W(n)=X(n)-a 1 W(n-1)-a2W(n-2) Y(n)=b 0 W(n)+b 1 W(n-1)+b 2 W(n-2) To accomplish this I used 6 cycles

Data Management Cycle 1 Cycle 2 -a1 W(n-1) -a2 W(n-2) X(n) Mult Temp Reg Mult Adder Adder Temp Reg W(n) X(n)-a 1 W(n-1) X(n)-a 1 W(n-1)-a 2 W(n-2)=W(n)

Data Management Cycle 3 Cycle 4 b2 W(n-2) b1 W(n-1) 0 Mult Temp Reg Mult Adder Adder Temp Reg b 0 W(n) Temp Reg b 0 W(n)+b 1 W(n-1)

Data Management Cycle 5 Cycle 5 Cycle 6 b0 W(n) W(n-1) W(n-1) Temp Reg Mult Adder W(n-2) W(n) Y(n) (Output) b 0 W(n)+b 1 W(n-1)+b 2 W(n-2)=Y(n)

Data Management Three 3-input multiplexers were used to accomplish this task. Cycle Mul1 Mul2 Add 000 This cycle is used to trigger the A/D convertor 001 a1 W(n-1) X(n) 010 a2 W(n-2) Temp Reg 011 b2 W(n-2) 0 100 b1 W(n-1) Temp Reg 101 b0 W(n) Temp Reg W(n-1) =>W(n-2) 110 W(n) => W(n-1)

Simulation Simulated DSP on Modelsim using simple 2 nd order low pass filter Checked the results with the same filter using matlab

Simulation Matlab DSP 0.905 0.905-0.7331-0.733 0.5938 0.594-0.481-0.481 0.3896 0.3895-0.3156-0.3156 0.2556 0.2555-0.207-0.2071 0.1677 0.1676-0.1358-0.1359 0.11 0.11

Problems Multiplier was occasionally producing an extra sign bit FPGA clocks Impulse response degradation

Signal Processing Using Digital Technology Jeremy Barsten Jeremy Stockwell May 6, 2003 Advisors: Dr. Thomas Stewart Dr. Vinod Prasad