2D to 3d architectures: back to the future

Similar documents
Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration

The Future of Packaging ~ Advanced System Integration

Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

3D ICs: Recent Advances in the Industry

2.5D Platform (Examples of products produced to date are shown here to demonstrate Amkor's production capabilities)

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

Adaptive Patterning. ISS 2019 January 8th

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Fan-Out Wafer Level Packaging Patent Landscape Analysis

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

Foundry WLSI Technology for Power Management System Integration

Opportunities and challenges of silicon photonics based System-In-Package

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

3D PLUS technology and offer

Enabling concepts: Packaging Technologies

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Fan-Out Wafer Level Packaging Patent Landscape Analysis

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract)

Fraunhofer IZM - ASSID

Through Glass Via (TGV) Technology for RF Applications

Measurement Results for a High Throughput MCM

New Wave SiP solution for Power

Amkor s 2.5D Package and HDFO Advanced Heterogeneous Packaging Solutions

Silicon Interposers enable high performance capacitors

Fabricating 2.5D, 3D, 5.5D Devices

SiP packaging technology of intelligent sensor module. Tony li

Co-design for Low Warpage and High Reliability in Advanced Package with TSV- Free Interposer (TFI)

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

Thermal Management in the 3D-SiP World of the Future

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Design of the Power Delivery System for Next Generation Gigahertz Packages

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions

Advanced High-Density Interconnection Technology

Organic Packaging Substrate Workshop Overview

Chapter 7 Introduction to 3D Integration Technology using TSV

Signal Integrity Modeling and Measurement of TSV in 3D IC

Enabling Parallel Testing at Sort for High Power Products

Market and technology trends in advanced packaging

Integrated Photonics using the POET Optical InterposerTM Platform

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna

Advanced Wafer Level Packaging of RF-MEMS with RDL Inductor

FO-WLP, Embedded Die, and Alternatives: Market Trends and Drivers

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

IMAPS NE 45 A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS

Overcoming the Challenges of HDI Design

Signal Integrity Design of TSV-Based 3D IC

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger - FormFactor

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

2.5D & 3D Package Signal Integrity A Paradigm Shift

International Technology Roadmap for Semiconductors. Dave Armstrong Advantest Ira Feldman Feldman Engineering Marc Loranger FormFactor

Sectional Design Standard for High Density Interconnect (HDI) Printed Boards

Processes for Flexible Electronic Systems

The 3D Silicon Leader

License to Speed: Extreme Bandwidth Packaging

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Expanding film and process for high efficiency 5 sides protection and FO-WLP fabrication

New wafer level stacking technologies and their applications

Electronic Costing & Technology Experts

Encapsulated Wafer Level Chip Scale Package (ewlcsp ) for Cost Effective and Robust Solutions in FlexLine

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

New Approaches to Develop a Scalable 3D IC Assembly Method

Chapter 2. Literature Review

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Proceedings. BiTS Shanghai October 21, Archive - Session BiTS Workshop Image: Zhu Difeng/Dollar Photo Club

/14/$ IEEE 470

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

Optical Bus for Intra and Inter-chip Optical Interconnects

3D Si Interposer Design and Electrical Performance Study

!"#$"%&' ()#*+,-+.&/0(

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

Capabilities of Flip Chip Defects Inspection Method by Using Laser Techniques

Substrates Lost in Translation

The Future of Packaging and Cu Wire Bonding Advances. Ivy Qin

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

IMAGE SENSOR EVOLUTION AND ENABLING 3D TECHNOLOGIES

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems

WLP Probing Technology Opportunity and Challenge. Clark Liu

Integration of 3D detector systems

EMBEDDED ACTIVE DEVICE PACKAGING TECHNOLOGY FOR REAL DDR2 MEMORY CHIPS

Power Distribution Status and Challenges

MicroSiP TM DC/DC Converters Fully Integrated Power Solutions

PANEL LEVEL PACKAGING A MANUFACTURING SOLUTION FOR COST-EFFECTIVE SYSTEMS

Challenges in Imaging, Sensors, and Signal Processing

The Smallest Form Factor GPS for Mobile Devices

Figure 1. FCBGA and fccsp Packages

Innovative Embedded Technologies to Enable Thinner IoT/Wearable/Mobile Devices

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

LSI ON GLASS SUBSTRATES

Advanced Embedded Packaging for Power Devices

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Design and Modeling of Through-Silicon Vias for 3D Integration

Transcription:

2D to 3d architectures: back to the future Raja Swaminathan Package architect Intel Corporation 2018 IMAPS Device Packaging Keynote, 03/06/2018

acknowledgements Ravi Mahajan, Ram Viswanath, Bob Sankman, Babak Sabi, Debendra Mallik, Tom DeBonis (Intel) IEEE TWG Members: Subu Iyer (University of California, Los Angeles), Steffen Kroehnert (Amkor), Peter Ramm (Fraunhofer), Michael Alfano (AMD), Venky Sundaram (Georgia Tech), Tom DeBonis (Intel), John Hunt (ASE), Jan Vardaman (TechSearch International), Markus Wimplinger (EV Group), Kaushik Mysore (AMD), Paul Franzon (North Carolina State University), Rozalia Beica (Dow Chemical) and Kanad Ghose (Binghamton University).

outline On-Package Heterogeneous Integration Drivers: Data, Data, Data!! 2D and 3D MCP Architectures: Back to the Future Nomenclature, Definitions, Metrics.. Comparing Architectures using Metrics Future Opportunities for Heterogeneous On-Package Integration

The Age of data BY 2020 Images from the web, Data from Intel presentations

Growing data demand greater computing Performance, low latency, high bandwidth memory technologies

Projected Supercomputer Performance Projected Growth in Comms Datarate Relative Datarate Source: Top500.org Source: https://itblog.sandisk.com/cpu-bandwidth-the-worrisome-2020-trend/ System Designers Continue to Raise the Bar for Overall Performance Ack: Babak Sabi, 2017 ECTC keynote

Improved memory technologies are critical. Ack: Babak Sabi, 2017 ECTC keynote

CPU-memory Bandwidth trends High Performance Computing

High perf memory technologies high bandwidth, low latency, low power

package is the ideal Heterogeneous Integration platform PCB Integration - Limited Interconnect Density Limited BW - Long Interconnects Increased Power - Large Form Factor Ack: Babak Sabi, 2017 ECTC keynote On-Package Integration Higher Interconnect Density Higher BW Shorter Interconnects Lower Power Heterogeneous Integration of Multiple Nodes, Multiple IP, & Multiple Functions without form factor penalty

On -package vs. Off-package integration GDDR5 CPU HBM GDDR5 CPU GDDR5 HBM Wide and Slow Total Capacity 4GB (4x 1GB) Data rate 1-2Gb/s Total BW 256 GB/s IO Power Efficiency (Energy/bit) 1X 1. http://www.memcon.com/pdfs/proceedings2015/mkt105_skhynix.pdf 2. https://www.micron.com/~/media/documents/products/technicalnote/dram/tned02_gddr5x.pdf GDDR5 GDDR5x Narrow and Fast Total Capacity 4GB (1GB each) Data rate 12Gb/s Total BW 192 GB/s IO Power Efficiency (Energy/bit) (1.75 3)X On Package Integration is More Compact, Lower Power & Higher BW Ack: Babak Sabi, 2017 ECTC keynote

On package MCP architectures: 2d and 3d 2D MCP Architecture Side by side active Silicon interconnected on the package 3D MCP Architecture Active Silicon stacked and interconnected on Active Silicon without agency of the package 1. With TSV 2. Without TSV Pictures from teardowns, X-sections available on the web Possum Architecture Courtesy: Amkor

FoCoS: The rising stars: 2.x D architectures 2.1/2.3D? EMIB: 2.5D? SLIM: 2.5D? SWIFT: 2.1/2.3D? HD organic package: 2.1/2.3D? INFO: 2.xD? CoWoS: 2.5D? 3/3 L/S SWIFT, SLIM trademarks of Amkor; FoCoS trademark of ASE; CoWoS, INFO trademarks of TSMC

2.x D- not physics/structure based nomenclatures new nomenclature: 2d enhanced architectures

2D enhanced architectures Side by side active Silicon interconnected at higher densities using Organic Based 2DO a. Chip Last Passive Si based 2DS a. Without TSV b. Chip First b. With TSV

2D enhanced architectures FoCoS: 2DO Chip Last/First EMIB: 2DS without TSV SLIM: EMIB die SWIFT: 2DO Chip Last HD organic package: 2DO Chip Last INFO: 2DO Chip First CoWoS: 2DS with TSV 3/3 L/S SWIFT, SLIM trademarks of Amkor FoCoS trademark of ASE CoWoS, INFO trademarks of TSMC

On-package MCP arch. ORG CHART Passive Si* based

Comparing architectures: key metrics Interfacial Layer Min L/S Min Active (or Bump) Si Interconnect Layer Via Pad Linear Interconnect Density (Wires/mm/layer) Min Active Si/Bump Interconnect Pitch Areal Interconnect Density (Bumps/mm 2 ) Thermal Resistance Interconnect Energy Density (pj/bit) Data Rate Capability (Gtps) Dielectric Materials Dielectric Thickness Dielectric loss tangent (tan δ) Conductor (Cu) Thickness Power Delivery Resistance Min-Max Die Thickness Min-Max Die Size Process Differentials (Chip First vs. Chip Last) Interconnect Materials Min-Max Package/Interposer size

Linear interconnect density: wires/mm/layer Die 1 Die 2 Py D P L S Px Row 4 3 2 1 DIE EDGE IO Density = # of Bump Rows / Py Py = (Rows-1)*(L+S)+(D+S) Py = range { 3P to P} Px = range {P to 3P} Number of wires escaping per millimeter of die edge is the key metric used to compare 2D architectures

Comparing architectures: Linear density Linear density not applicable metric for 3D architectures Wires/mm/Layer 200 100 50 2D FCBGA 2DO SWIFT ewlb+ InFO-WLP ewlb EMIB Si Interposer SLIM 2DS 30/65 Laser FCCSP 21/50 Laser 10/40 Laser 2D Enhanced 6/9 Organic Photo 4/8 Organic Photo 4/2 Inorganic Photo L+S/uvia pad Via Process Dimensions in microns

Comparing architectures: areal density 2000 1600 Bump Pitch (Bumps/mm 2 ) 1200 800 400 3D 2DS 2DO 2D 0 20 40 60 80 100 120 140 Bump Pitch (um)

Comparing architectures: signaling perf Key Metric Interconnect Energy Density(pJ/bit) Data Rate Capability (Gtps) Dielectric Materials Dielectric loss tangent (tan δ) 2D Architecture 2D Enhanced Architecture 2DO 2DS 3D Architecture 5-10 2-5 <1 <1 6-10 6-8 2-5 1-5 Std. Organic DE Enhanced (Photo/Laser) Org Inorganic: SiO 2 -- 0.005-0.01 0.002-0.005 0.001 -- Dielectric Thickness 15-20u 3-10u 0.5u -- Conductor Material Cu Cu Cu Cu Conductor Thickness 15-20u 3-12u 1-2u 1-2u

Comparing architectures: power delivery resistance 2D 2DO 2DS (without TSV) Direct power delivery path through thick Cu planes/traces in substrate/ RDL layers + dedicated power/ground planes Less resistive 2DS (with TSV) 3D Power delivery path weaves through thin Cu traces in Silicon + minimal power/ground planes Highly resistive

Comparing architectures: Process flows Chip-First Die Prep (Wafer Dicing) Reconstitution Die Placement on Carrier Wafer / Panel Molding Carrier Detach RDL BGA Attach Chip-Last Carrier Wafer / Panel Reconstitution RDL Molding Carrier Detach Die Bonding BGA Ball Attach Die Prep (Wafer Dicing) Source: TechSearch International Inc. Chip First RDL: No KGP (known good packages) related tradeoffs Panel level processes also have similar considerations

Today s multi-chip packaging spectrum 2D SbS 2DO 2DS (without TSV) EMIB die 2D PoP 3D F2F 3D TSV 2DO PoP 2DS with TSV Many Package Options Exist!! Designers Pick the Optimal Solution for a Specific System

EMIB: Intel s 2DS architecture EMIB allows for Localized high density, ultra-high Bandwidth/Low Power Interconnect Solution (2DS without TSV architecture) Ack: Mark Bohr, Intel Technology and Manufacturing Day, 2017

2DS architectures: Emib vs. si interposer Ack: Ravi Mahajan, 2016 IMAPS PDC keynote

2DS arch comparison: Emib vs. si interposer Ack: Ravi Mahajan, 2017 IMAPS PDC keynote Linear Interconnect Density Chip-to-Chip Signal Integrity Through Package Signal Integrity Through Package Power Delivery Silicon Processing Substrate Processing Assembly Processing Total Chip/Si Area on Package Overall Cost Si Interposer Baseline sub EMIB No TSV for other signals Thick Cu traces, P/G planes No TSV processes Eliminates one TCB step

Designing with Emib HBM Gfx CPU Kaby Lake-G XCVR FPGA Source: Intel Technology Manufacturing Day, 2017

Directions for Heterogeneous packaging in the future Traditional MCP 10 s of IO/mm ~100 Gb/s BW State of the Art MCP 100 s of IO/mm ~500 Gb/s BW The Future of MCP s 1000 s of IO/mm 1+ Tb/s BW Die-Package Interconnect Pitch ~100mm Substrate Technology Advanced Laminate Assembly Technology Reflow CAM Test Technology Array Sort Probing Die-Package Interconnect Pitch ~50mm Substrate Technology EMIB, (Si Int + Laminate) Assembly Technology TCB Test Technology Array Sort + Self Test Die-Package Interconnect Pitch ~10mm Substrate Technology TBD Assembly Technology TBD Test Technology TBD Industry is Challenged to Invent New Solutions for Ultra-high Density Ack: Babak Sabi, 2017 ECTC keynote Multi-Chip Packaging

Package technologies will become more wafer fab-like Inorganic Thin Films Planarization Pad-less Vias Cu-Cu Bumps & Vias Achieving Interconnect Densities to Support 1+ TB/s on- Package Interconnects Will Require Novel Substrate and Assembly Capabilities Ack: Babak Sabi, 2017 ECTC keynote

In summary On-Package level heterogeneous integration expected to increasingly complement Moore s Law scaling Industry transitioning to new standardized, physics based nomenclatures for 2D to 3D architectures Key metrics driving evolution of architectures described; expected to drive focus in industry/academia on critical technology trends for next generation packages Packaging industry will push the boundaries for Heterogeneous On-Package Integration with new enabling technologies

Thank you!!