EUV Lithography Transition from Research to Commercialization

Similar documents
Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Challenges of EUV masks and preliminary evaluation

EUV Light Source The Path to HVM Scalability in Practice

Mask Technology Development in Extreme-Ultraviolet Lithography

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

EUVL getting ready for volume introduction

Optics for EUV Lithography

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

2009 International Workshop on EUV Lithography

Recent Development Activities on EUVL at ASET

EUV lithography: today and tomorrow

Critical Challenges of EUV Mask Blank Volume Production

Progress in full field EUV lithography program at IMEC

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Lithography Industry Collaborations

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Nikon EUVL Development Progress Update

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

EUV Substrate and Blank Inspection

Intel Technology Journal

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION

Comparison of actinic and non-actinic inspection of programmed defect masks

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Japan Update. EUVA (Extreme Ultraviolet Lithography System Development Association) Koichi Toyoda. SOURCE TWG 2 March, 2005 San Jose

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Process Optimization

EUV lithography: status, future requirements and challenges

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Laser Produced Plasma Light Source for HVM-EUVL

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Part 5-1: Lithography

EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview

State-of-the-art device fabrication techniques

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

Major Fabrication Steps in MOS Process Flow

Scope and Limit of Lithography to the End of Moore s Law

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Newer process technology (since 1999) includes :

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Light Sources for High Volume Metrology and Inspection Applications

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

NIST EUVL Metrology Programs

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Extreme Ultraviolet Lithography for 0.1 pm Devices

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

National Projects on Semiconductor in NEDO

EUVL Activities in China

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

EUV projection optics and active mirror development at SAGEM

Advanced Patterning Techniques for 22nm HP and beyond

Lecture 5. Optical Lithography

Projection Systems for Extreme Ultraviolet Lithography

Imaging for the next decade

GIGAPHOTON INTRODUCTION

Update on 193nm immersion exposure tool

From ArF Immersion to EUV Lithography

Evaluation of Technology Options by Lithography Simulation

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

LPP EUV Source Development and HVM I Productization

Lecture 8. Microlithography

Feature-level Compensation & Control

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

High-NA EUV lithography enabling Moore s law in the next decade

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Photolithography Technology and Application

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Photolithography 光刻 Part I: Optics

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

SEMATECH Defect Printability Studies

Development of ultra-fine structure t metrology system using coherent EUV source

Energy beam processing and the drive for ultra precision manufacturing

EUV Interference Lithography in NewSUBARU

Transcription:

EUV Lithography Transition from Research to Commercialization Charles W. Gwyn and Peter J. Silverman and Intel Corporation Photomask Japan 2003 Pacifico Yokohama, Kanagawa, Japan Gwyn:PMJ:4/17/03:1

EUV Lithography Transition from Research to Commercialization Research Schematic Outline Background Technology Description Research results and status Commercialization status Source Tools Masks World wide focus Remaining challenges Summary ASML Concept Gwyn:PMJ:4/17/03:2

Phases of EUVL implementation R & D Commercialization IC Production 1994 1997 2000 2003 2006 2007 2010 Objectives ASET & EUVA MEDEA+ I-SEMATECH Perform basic R & D Develop and integrate modules into alpha tool Demonstrate EUVL printing & quantify needed improvements Develop beta and preproduction tools Implement improvements with selective R&D Establish infrastructure for masks, resists, and Metrology equipment Manufacture production tools Scale up infrastructure Continuous improvement Gwyn:PMJ:4/17/03:3

Research and Development Strategy Develop the unique modules required for EUV Lithography: Reflective Projection Optics and Condenser EUV Source Environmental Control Systems Mask Blanks and Masks Resist Goal Demonstrate the feasibility of EUV Lithography by building a prototype 100nm EUV Lithography exposure tool Projection Optics Wafer Reticle Illuminator Gwyn:PMJ:4/17/03:4

EUVL R & D requirements different than conventional lithography Aspheric mirrors with sub-nm surface error Reticle Stage Illuminator EUV reflective masks Robust 4X reduction EUV reflective projection optics Wafer Stage Multilayer reflective coatings for mirrors Environmental control system EUV resist Operating and control software Precision Metrology A reliable source of EUV photons A condenser to collect and shape EUV radiation Gwyn:PMJ:4/17/03:5

Modules integrated into demonstration alpha tool Engineering Test Stand Reticle Stage Laser Plasma Source PO Box Condenser Optic Control & Data Acquisition Wafer Stage Gwyn:PMJ:4/17/03:6 Environment Control

Full field Images produced by 0.1 NA ETS 100 nm Elbows 1:1 200 mm Wafer 80 nm Elbows 1:1 100 nm contacts 1:1 24 x 32.5 mm 2 field Gwyn:PMJ:4/17/03:7

R & D Exposure Systems System Location NA/ Field size Lens Reticle (type) Magnification Min. Res. quality Size ETS Scanner Livermore, CA 0.1 4 x 24 x 32 mm 2 λ/20 6 inch sq. 10x Microstepper Livermore, CA 0.1/10 x 0.5 x 0.5 mm λ/40 1.25 x 1.06 in wafer BESSY MET static Berlin, Germany 0.3/5x 200x600 microns 2 λ/10 8 inch wafer BEL Grenoble, 0.3/10x 100x200 λ/10 8 inch wafer static France microns 6 x 6 inch reticle ASET Atsugi, Japan 0.3/5x 300 x 500 λ/7 8 inch wafer static MET (static) Berkeley, CA 0.3/5x 200 x 600 λ/40 6 inch reticle Other capabilities include a 10x interferometric exposure capability at Berkeley and at the U. of Wisconsin Gwyn:PMJ:4/17/03:8

R & D Status Technology: All elements of technology demonstrated Full field scanning alpha tool Mask fabrication & repair Experiments correlate with models Metrology for optics and masks Key decisions made, e.g. wavelength, mask format, engineered multilayers, etc. Tools are available for continued learning R & D activity: VNL available for technology transfer Individual LLC members continuing selective R & D Universities actively pursuing projects I-SEMATECH establishing Mask Development Center in Albany, NY Needs: Continued improvement for all areas and reduced CoO Gwyn:PMJ:4/17/03:9

Commercialization focusing on three areas Tools EUV Source Discharge Laser Plasma Beta tools Microstepper Stepper scanners Masks Blanks Patterned masks Metrology Resists Gwyn:PMJ:4/17/03:10

Electric Discharge Source t 4 Technology: Pulsed electrical discharge creates plasma in presence of Xe gas Plasma-wall proximity increases thermal and erosion problems Collected EUV power = Input electrical power ------------------------------- (collector efficiency)(wall to discharge) Up to 17 W at intermediate focus @ 6kHz burst mode Issues: Electrode lifetime and debris Sn considered as alternate to Xe target Commercial status: Systems operating only in burst mode Leading systems: Cymer, Xtreme, Philips ~1 mm anode cathode 50 kv pulse Production Needs: Higher power, multiplexed sources Improved conversion/collection efficiencies Improved CoO Cymer DPF System Gwyn:PMJ:4/17/03:11

Laser-Produced Plasma Source Technology: Pulsed IR laser focused on Xe gas, liquid or solid target creates plasma Good plasma-wall separation: helps thermal erosion Relatively inefficient EUV generation (multiple steps) Collected EUV power = Input electrical power ------------------------------- (collector efficiency)(laser to EUV)(wall to laser) Sn being considered as alternate for Xe target IR Laser 4+ mm Xe Jet Commercial status: Leading system: CEO/TRW 4.5 KW LPP laser developed, 3 chains tested, 22 W EUV in 2π with filament jet, 9.4 W, 0.87% eff., at intermediate focus with 2.4 KW laser Active development for 6 systems by Japanese, European, and US companies Sn considered as an alternate target Production Needs: Higher power Improved conversion/collection efficiencies Gwyn:PMJ:4/17/03:12 CEO 4.5 KW System

Exposure EUVL tools Technology: Complete tools validate technology feasibility - ETS and other R & D tools, All modules/processes demonstrated optics, multilayers, metrology, stages, vacuum operation Commercial status: Exitech developing 0.3 NA microsteppers for process development deliveries in 04 ASML, Nikon and Canon developing beta and production tools Over 100 companies and laboratories working on subsystems and components Production Needs: Accelerated schedule for tools Additional infrastructure suppliers Gwyn:PMJ:4/17/03:13

Mask Development Strategy: Mask Blanks Use LTEM polished blanks Cover and repair defects Deposit low defect multilayers Inspection and repair defects Absorber deposition Mask Patterning Use conventional ebeam writing Extend/adapt conventional inspection tools Use FIB pr ebeam for repair Use removable protection cover Affordable mask costs Gwyn:PMJ:4/17/03:14

Mask blank manufacturing process Defect Low defect Polished blanks from supplier Deposit substrate smoothing layer to cover small defects Deposit EUV multilayer stack Deposit absorber and inspect No defects in quality area Inspect coated blank for defects Meets Specifications Repair defects and re-inspect Too many defects Blank Ready for patterning Repair not successful Trash Gwyn:PMJ:4/17/03:15

Mask Blank Defect Repair Substrate defect smoothing Phase defect repair Electron beam Top Layers Surface Height (nm) 50 40 30 20 10 0 50 nm sphere After Mo/Si coating ion-assist -200 0 200 400 Position (nm) Before Substrate Height (nm) After ion beam Rastered beam mills away defect Surface defect repair Capping layer protects exposed multilayers Position (nm) Localized heating and ML contraction controlled by adjusting e-beam dose Mo/Si Substrate Mo/Si Substrate Gwyn:PMJ:4/17/03:16

Mask Blank production Technology; LTEM or Zerodur materials - SEMI standard P37 Blanks polished to <200 nm flatness Models developed and applied for stress, flatness, and chucking effects LTEM mask materials identified and characterized Defect mitigation and repair methods developed Commercial status: Schott Lithotech, Asahi, Hoya, Corning developing blanks I-SEMATECH establishing mask blank development center in Albany, NY Production needs: Improved blank flatness 50 nm Combined inspection/repair tool Reduced costs Gwyn:PMJ:4/17/03:17 Defects/cm 2 10 1 0.1 0.01 0.001 Blank Yield 1997 1.0 0.8 0.6 0.4 No repair With repair 0.2 0.0 0.001 0.01 0.1 Defects/cm 2 1999 2001 Time

Mask patterning steps Shift Mask Pattern to Cover one or more defects Mask blanks from supplier Mask Blank defects Deposit resist and prebake E-beam write, Etch & Clean Final inspect & clean Inspect patterned masks Repair masks using FIB or ebeam Mount in frame with removable protective cover Gwyn:PMJ:4/17/03:18

Patterned mask inspection and repair Mask microscope for defect classification And inspection Conventional FIB metal deposition or removal Electron beam pattern repair Electron-Beam Mask Repair Eliminates Ga ion stains No ion-beam damage High etch selectivity Minimizes buffer layers ~ 30 nm resolution Metal deposition for clear pattern defects TaN SiO2 Metal pattern removal Gwyn:PMJ:4/17/03:19

Mask Patterning Technology; Variety of absorbers evaluated, Cr and TaN preferred Masks patterned using commercial e-beam writing tools Two Mask SEMI standards approved, two in process Inspection tools demonstrated Repair demonstrated using Ga FIB and e-beam etching Large k1 minimizes need for OPC, simple flare compensation Removable pellicle/cover proposed Commercial status: Masks produced by: Company mask shops: Intel, Motorola, AMD, IBM, and Infineon Mask companies: RTC, MCoC, DPI Standards developed 152 mm 2, 4X Dark Field Reflective Masks Production needs: Inspection and repair equipment Continued development of removable pellicle 120 nm lines/spaces Gwyn:PMJ:4/17/03:20

Estimated EUV & Optical Mask Costs for 45 nm Key Attributes EUV cost relative to optical Mask blank flat/defect free similar Specs. 1.0 Multilayers multilayer coated more layers 1.2 Defect inspection DUV inspection similar/phase 1.2 Writing time ebeam, similar CD s less complex 0.5 0.6 Pattern Insp. DUV inspection simpler 0.6 0.7 Estimated costs for 45 nm (equivalent process maturity) Optical - OPC only $ 100 k OPC & complementary $ 150 k EUV $ 43 - $90 k EUV mask Optical Mask with OPC Gwyn:PMJ:4/17/03:21

Resists Approach Extend chemically amplified deep UV resists Use Ultra thin resists (UTR) Evaluate single layer and bilayer resists Gwyn:PMJ:4/17/03:22

Resist LER Needs Improvement Sensitivity (mj/cm 2 ) 45.0 40.0 35.0 30.0 25.0 20.0 15.0 10.0 Supplier A Supplier B Supplier C Supplier D Shipley published results Goal Best positive resist 100nm l/s Dose=2.3 mj/cm 2 LER=7.2 nm Best negative resist 100nm l/s 5.0 0.0 0 5 10 15 20 25 30 LER (nm) Dose=3.2 mj/cm 2 LER= 7.6nm Gwyn:PMJ:4/17/03:23

Resist Development Technology; Both single layer and bilayer resists demonstrated DUV ultra thin resists viable > 85 nm Resist sensitivities demonstrated down to ~ 2.0 mj/cm 2, LER 5 7 nm Pattern transfer into hard masks demonstrated Flare understood - compensation methods available Commercialization status: Companies actively developing resists Shipley, JSR, TOK Production Needs: Reduced LER and improved sensitivity Gwyn:PMJ:4/17/03:24

World wide EUVL commercialization focus Japan > 10 Companies Consortia: ASET EUVA MIRAI Europe > 50 Commercial Cos. Consortia: PREUVE MEDEA+ LETI IMEC US > 40 Commercial companies Consortia: I-SEMATECH VNL RDC SRC Gwyn:EUVSym:10/14/02:25

Remaining challenges Lithography tools with acceptable CoO Beta tool schedule Source Output (at intermediate focus) Optics/Illuminator lifetime Optics figure and finish Multilayer reflectivity (optics) Production throughput 300 mm wafers Resists LER (3σ) Resolution/Sensitivity (Printing/dose) Masks Blank flatness Blank defect levels (@50 nm) Inspection (blanks and patterned wafers) CD measurements Present Required 2006-2007 2005-2006 < 10 Watts >100 Watts TBD >5 Yrs/>1 Yr ~25 nm rms <14 nm rms ~65% >70 % ------ >80 wph 7 nm @ 2.5 mj 3 nm @ 2.5 mj 40 nm/5 mj <20 nm/2.5 mj 200 nm 50 nm 0.04/cm 2 0.003/cm 2 70 nm defects 30 nm defects 70 nm 30 nm Gwyn:PMJ:4/17/03:26

Summary EUVL is the only viable solution for 45 nm Integration of all EUVL modules demonstrated feasibility of the EUVL technology Mask costs are affordable defect mitigation and repair methods demonstrated Suppliers are engaged to commercialize the technology Remaining technical challenges have been identified and are actively being addressed Commercialization emphasis is required Gwyn:PMJ:4/17/03:27