From Possible to Practical The Evolution of Nanoimprint for Patterned Media

Similar documents
Hard Disk Drive Industry Driving Areal Density and Lithography

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Progresses in NIL Template Fabrication Naoya Hayashi

Strategies for low cost imprint molds

Part 5-1: Lithography

Perpendicular Media - Metrology and Inspection Challenges. Sri Venkataram KLA-Tencor Corporation Sept 19, 2007

Holistic View of Lithography for Double Patterning. Skip Miller ASML

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Advanced Patterning Techniques for 22nm HP and beyond

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

PERPENDICULAR FILM HEAD PROCESSING PERSPECTIVES FOR AREAL DENSITY INCREASES

Development of Nanoimprint Mold Using JBX-9300FS

Mask Fabrication For Nanoimprint Lithography

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Innovative Technologies for RF & Power Applications

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

R&D Status and Key Technical and Implementation Challenges for EUV HVM

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Cost of Ownership Analysis for Patterning Using Step and Flash Imprint Lithography

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

High Throughput Jet and Flash* Imprint Lithography for semiconductor memory applications. Abstract

CRITICAL DIMENSION CONTROL, OVERLAY, AND THROUGHPUT BUDGETS IN UV NANOIMPRINT STEPPER TECHNOLOGY

ISMI Industry Productivity Driver

ISMI 450mm Transition Program

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Templates, DTR and BPM Media

Defect inspection of imprinted 32 nm half pitch patterns

EE 143 Microfabrication Technology Fall 2014

Evaluation of the Imprio 100 Step and Flash Imprint Lithography Tool

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Low-Cost Nanostructure Patterning Using Step and Flash Imprint Lithography

Inspection of templates for imprint lithography

High-performance wire-grid polarizers using jet and Flash imprint lithography

CANON INDUSTRIAL PRODUCTS ENABLING A WORLD OF INNOVATIONS

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

MAPPER: High throughput Maskless Lithography

Competitive in Mainstream Products

HDD Technology Trends

21 st Annual Needham Growth Conference

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

SUSS MA/BA Gen4 Series COMPACT MASK ALIGNER PLATFORM FOR RESEARCH AND LOW-VOLUME PRODUCTION

Major Fabrication Steps in MOS Process Flow

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Envisioning the Future of Optoelectronic Interconnects:

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Nano-imprinting Lithography Technology

Process Optimization

PRESS KIT. High Accuracy Device Bonder with Robotics.

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

EUVL getting ready for volume introduction

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

2009 International Workshop on EUV Lithography

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

CD-SEM for 65-nm Process Node

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

The Development of the Semiconductor CVD and ALD Requirement

Analysis of critical dimension uniformity for step and flash imprint lithography

Exhibit 2 Declaration of Dr. Chris Mack

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Inkjet resist inks. Krishna Balantrapu

Lithography Industry Collaborations

Critical Dimension and Image Placement Issues for Step and Flash Imprint Lithography Templates

Progress in full field EUV lithography program at IMEC

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Quantized patterning using nanoimprinted blanks

IMPACT OF 450MM ON CMP

AN ANALYSIS: TRADITIONAL SEMICONDUCTOR LITHOGRAPHY VERSUS EMERGING TECHNOLOGY (NANO IMPRINT) Robert L. Wright Kranthi Mitra Adusumilli

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

Challenges of EUV masks and preliminary evaluation

Chapter 3 Fabrication

Lecture 8. Microlithography

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Nanostencil Lithography and Nanoelectronic Applications

A process for, and optical performance of, a low cost Wire Grid Polarizer

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Nikon EUVL Development Progress Update

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

University of California, Berkeley Department of Mechanical Engineering. ME 290R Topics in Manufacturing, Fall 2014: Lithography

EUVL Challenges for Next Generation Devices

(Complementary E-Beam Lithography)

Introduction of ADVANTEST EB Lithography System

EUV Substrate and Blank Inspection

Micro Photonics, Berlin

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Innovative Mask Aligner Lithography for MEMS and Packaging

High-Risk Technology Development

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

It s Time for 300mm Prime

Advanced Plasma Technology. High precision film thickness trimming for the TFH industry. Roth & Rau AG September 2009

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

The Future of Packaging ~ Advanced System Integration

Process Variability and the SUPERAID7 Approach

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

Transcription:

From Possible to Practical The Evolution of Nanoimprint for Patterned Media Paul Hofemann March 13, 2009

HDD Areal Density Industry Roadmap 10,000 Media Technology Roadmap Today Areal Density (Gbit/in 2 ) 1,000 100 10 N S Discrete Track Bit Patterned Perpendicular Recording Bit Patterned S BPM- 25nm half pitch 1 S N N N S 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 2

HDD Industry Is Now Leading the ITRS Lithography Resolution Roadmap 200 HDD TFH Today Resolution (nm) 100 80 60 40 30 NAND FLASH Patt. Media 20 02 03 04 05 06 07 08 09 10 11 12 13 14 and must accomplish this at a fraction of the cost! 3 Sources: ITRS Roadmap (FLASH) ; Tom Coughlin Assoc. May 08 (TFH)

What is Different About This Transition? Requires lithography beyond the most aggressive International Technology for Semiconductors (ITRS) roadmap Introduces processes (e.g., litho and etch) that are not currently in the disk media production fabs Requires equipment innovations, process integration during actual HDD device development. Tool specification requirements are evolving. 4

Disk Media Manufacturing Process Flow Wash Sputter COC Lube Burnish Flight Test Manufacturing Flow Wash Planarization Imprint Coating Resist Strip Imprint Etch Today s Unpatterned Media: $4 to $6/disk Patterned Media Added Cost: $1 to $2/disk 5

Nanoimprint Lithography Entering New Phase In Preparation for High Volume Manufacturing 2005-2008 Technical Feasibility Sub-20nm resolution demonstrated Discrete Track and Bit Patterned Supports areal densities beyond 1 Tb/in 2 2009-2010 Manufacturing Readiness Process stability (repeatability, reproducibility) NIL system production robustness Cost of Ownership requirements Throughput, footprint, consumables, defects Pilot-Line learning Process Integration (coaters, cleaners, NIL, etch, other) Yield learning and control Patterned Media fab layout, efficiency, automation Cover Picture: Molecular Imprints Imprio HD2200 2010-2011 Begin Manufacturing Adoption 6

OEM Roadmaps Must Align With Industry Transition Patterned Media Adoption versus Capital Equipment 7

Nanoimprint S-FIL Aligned to HDD Industry s Patterned Media Adoption Timeline Patterned Media Adoption versus Capital Equipment Imprio 1100 10 Tools Sold To HDD Industry Imprio HD2200 Future HVM 8

S-FIL Technology Inkjet Dispense 9

S-FIL Technology Template Contacts Resist 10

S-FIL Technology Capillary Forces Fill Template 11

S-FIL Technology Resist Exposure 12

S-FIL Technology Template Separation 13

14 Drop-on-Demand Enables Pattern Transfer Fidelity

Pattern Transfer for DTM and BPM Discrete Track Structures Imprint CD: 36.2 ± 1.1 nm LWR (3σ): 2.9 nm Descum 34.6 ± 1.4 nm 2.5 nm Oxide Etch 34.7 ± 1.0 nm 2.8 nm Clean 36.7 ± 1.4 nm 2.9 nm Bit Patterned Structures 25 nm half pitch Imprinted Pillars 15

Patterned Media Imprint Examples DTR - 50nm half pitch Servo Patterns BPM - 25nm half pitch Resist Pillars 16

Nanoimprint Demonstrated Technical Ability to 2.5nm Imprint lithography resolution is ultimately determined by the resolution on the template Current emphasis on developing a Manufacturing Solution 2.4nm carbon nanotube is adhered to a template Imprint clearly shows the replication of the CNT feature 17 Source J. A. Rogers F. Hua, Y. Sun, A. Gaur, M. A. Meitl, L. Bilhaut, L. Rotkina, J. Wang, P. Geil, M. Shim, and, Nanoletters, Vol. 4, No. 12, 2467-2471, 2004

Transitioning from R&D to Pilot-lines 2 nd Generation S-FIL Nanoimprint 2009-2010 Process stability Repeatability and reproducability NIL system production robustness Cost of Ownership requirements Throughput, footprint, consumables, defects Pilot-Line learning HD2200 Double-Sided 180 disks/hour Process Integration (ie., coaters,cleaners,nil,etch) Yield learning and control Fab layout, efficiency, automation 18

High Throughput Double-Sided Patterning Robot Cassettes Templates GUI HD2200 19 Note: Timing and sequencing is an illustration only

Video of 2 nd Generation HDD Nanoimprint System HD2200

Pilot-Lines Require a Focus on Tool Robustness Early Imprio 1100 Failure Pareto Early Imprio HD2200 IRONMAN # Failures # Jobs Requested (each job is ~12hr run) Imprio HD2200 Alpha System Week MII has implemented re-design and/or OEM solutions to address these reliability issues IRONMAN testing expanded to include some installed base tools. 21

Defect Learning Is A Major Focus of Pilot Lines Large Effort Underway To Fully Understand Nanoimprint Defectivity Defect Class Observation Examples Wafer Template Repeater Disk 22 Examples Only

Some Particles on the Template Get Removed During Normal Imprint 1 st st Imprint 2 nd Imprint 3 rd rd Imprint 30um 5 um Particles on template cause repeating defects, but often not permanent 4 th th Imprint 23

24 If Required to Remove Stubborn Particles, Cleaning Does Not Appear to Harm the Template

3 rd Generation Tool Will Focus on Cost of Ownership and Clean Room Footprint 300dph-400dph Disks Per Hour (Double-Sided) 1x HVM = 2x HD2200 = 11x Imprio 1100 3 rd Gen 1 st Gen HVM I-1100 2 nd Gen HD2200 25 Productivity Increase With Each Generation

Lower CoO Roadmap with Each Generation MII HDD Platform Total CoO ($ s/disk) $2.63 1 st Gen (I-1100) $0.84 2 nd Gen (HD2200) <$0.35 3 rd Gen (HVM) CoO Includes: Tool Capital Footprint Uptime Yield Consumables $0.49 Resist Gases Light Source Adhesion Deposition 26 Note: Provided as estimates only

Master and Working Replicate Templates Standard 6-inch round fused silica substrate Rotary e-beam generates master patterned template Imprint lithography transfers pattern to working replicates Fully Patterned DTR Template (Before metal layer Strip) Commercial mask shops ideally suited for this role 27

Master and Working Replicate Templates One Master 10,000 Template Replicates 100 million disks Rotary E-beam Writer NIL - Template Replicator NIL - Disks HD2200 TR1100 One master by rotary-stage e- beam writer Replicate master into working replicas Each working replica template imprints 10,000 disks 28

Supply Chain Coordination and Collaboration is Required Template Supply Other Materials Supplier Interaction Yield Mgmt New chemicals Std cassettes Std SMIF pods Automation protocol Coupled processes: Imprint coating Imprint lithography Etch Defect detection & classification gaps Implementation strategy (i.e., binning, process monitoring) 29

2009-2010 Pilot Lines Will Be Busy! Process refinement and integration will require close collaboration with OEM/HDD Manufacturers Increased focus on integration, cost of ownership and yield More manufacturing personnel are getting involved good sign! HDD manufacturers picking areal density points for first pattern media products New litho/etch talent will be appearing in media fabs 30

Hard Disk Drive Industry Driving Areal Density and Lithography! Global demand for digital storage will continue to motivate the HDD industry s areal density progress Patterned media provides technical roadmap beyond 1 Tb/in 2 Imprint lithography enables remarkable sub-20nm resolution at extraordinary low cost Nanoimprint Lithography is evolving from Possible to Practical with it s 3 rd generation tool 31