FinFET-based Design for Robust Nanoscale SRAM

Similar documents
Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

FINFET BASED SRAM DESIGN FOR LOW POWER APPLICATIONS

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Low Transistor Variability The Key to Energy Efficient ICs

EECS130 Integrated Circuit Devices

FinFET Devices and Technologies

Session 3: Solid State Devices. Silicon on Insulator

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website

FinFET-Based SRAM Design

Lecture #29. Moore s Law

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

EECS130 Integrated Circuit Devices

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology

FinFET vs. FD-SOI Key Advantages & Disadvantages

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors.

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline:

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Session 10: Solid State Physics MOSFET

Drain. Drain. [Intel: bulk-si MOSFETs]

Design of Optimized Digital Logic Circuits Using FinFET

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Enabling Breakthroughs In Technology

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

Double-Gate SOI Devices for Low-Power and High-Performance Applications

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

DESIGN & OPTIMIZATION OF FINFET BASED DOMINO LOGIC CIRCUIT Akshay Angaria 1 *, Umesh Dutta 2, Sneha Arora 3 1,3

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 12. SOI Devices and Circuits

ISSN: [Soni* et al., 6(4): April, 2017] Impact Factor: 4.116

DUAL MATERIAL PILE GATE APPROACH FOR LOW LEAKAGE FINFET. Sanjay S. Chopade 1*, Dinesh V. Padole 1

Journal of Electron Devices, Vol. 20, 2014, pp

Design and Performance Analysis of SOI and Conventional MOSFET based CMOS Inverter

Microelectronics, BSc course

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

Power MOSFET Zheng Yang (ERF 3017,

THRESHOLD VOLTAGE CONTROL SCHEMES

Low Power, Area Efficient FinFET Circuit Design

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Performance of Low Power SRAM Cells On SNM and Power Dissipation

III-V CMOS: Quo Vadis?

Partially-insulated MOSFET (PiFET) and Its Application to DRAM Cell Transistor

Reducing Transistor Variability For High Performance Low Power Chips

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Semiconductor TCAD Tools

High Performance and Low Leakage 3DSOI Fin-FET SRAM

INTRODUCTION TO MOS TECHNOLOGY

A Process Variation Tolerant Self-Compensation Sense Amplifier Design

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Bridging the Gap between Dreams and Nano-Scale Reality

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

The Path Toward Efficient Nano-Mechanical Circuits and Systems

CHAPTER 2 LITERATURE REVIEW

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing

Process Variability and the SUPERAID7 Approach

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

AE53/AC53/AT53/AE103 ELECT. DEVICES & CIRCUITS DEC 2015

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

MULTI-PORT MEMORY DESIGN FOR ADVANCED COMPUTER ARCHITECTURES. by Yirong Zhao Bachelor of Science, Shanghai Jiaotong University, P. R.

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Glasgow eprints Service

+1 (479)

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

Tunneling Field Effect Transistors for Low Power ULSI

Sustaining the Si Revolution: From 3D Transistors to 3D Integration

Fully Depleted Devices

Topic 3. CMOS Fabrication Process

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France

Introduction to VLSI ASIC Design and Technology

Power FINFET, a Novel Superjunction Power MOSFET

Leakage Current Analysis

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

420 Intro to VLSI Design

Silicon Single-Electron Devices for Logic Applications

BICMOS Technology and Fabrication

Transcription:

FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng Guo, Sriram Balasubramanian, Andrew Carlson, Radu Zlatanovici 1 Outline Background / Motivation FinFET-based SRAM cell designs 6-T SRAM write-ability considerations Summary 2 1

Challenges for Bulk-Si MOSFET Scaling The traditional approach to transistor scaling is reaching fundamental limits oxide scaling Source Shallow junctions Channel engineering L g Halo Doping Drain Issues for scaling L g to below 20 nm: Leakage SiO 2 Incommensurate gains in I ON V T variation Source Drain A. Brown et al., IEEE Trans. Nanotechnology, p. 195, 2002 3 Thin-Body MOSFET Structures Short-channel effects are suppressed with thin body Channel can be lightly doped higher carrier mobility T OX scaling is not needed reliability issues are assuaged Double-gate structure is most scalable (to L g <10nm) L g Source Drain T Si Buried Oxide Substrate Ultra-Thin Body (UTB) Source Drain Double- (DG) T Si 4 2

Circuit-Level Benefits of Thin-Body MOSFETs Steep sub-threshold swing High drive current Low parasitic capacitances negligible C junc and C depl Better intrinsic delay FO4 Inverter Delay [ps] 10 1 Bulk UTB DG 45% faster I off = 200nA/ m L gate =35nm 53% faster I off = 2nA/ m Benefits are enhanced for low-power applications 5 Double- FinFET L g Drain L g Drain T Si Source Drain Source Source Planar Double- FET (90 o rotation) Fin Height H FIN = W/2 Fin Width = T Si FinFET D. Hisamoto et al., IEDM, 1998 N. Lindert et al., IEEE Electron Device Letters, p.487, 2001 Rotation allows for self-aligned gate electrodes FinFET layout is similar to that of a planar FET 6 3

Bulk-Si FinFETs C.-H. Lee et al., Symp. VLSI Technology Digest, pp. 130-131, 2004 FinFETs can be made on bulk-si wafers lower cost improved thermal conduction to mitigate self-heating effects integration with planar bulk-si MOSFETs is possible 7 FinFETs for Digital ICs Significant barriers to adoption of FinFET technology for general purpose logic exist: V T tuning (gate work function engineering?) lack of a standard model and design libraries but these are not issues for SRAM, which faces severe challenges for scaling beyond the hp45nm node V T variability standby power 8 4

Outline Background / Motivation FinFET-based SRAM cell designs Z. Guo et al., Int l Symposium on Low Power Electronics and Design, 2005 6-T cells 4-T cells 6-T SRAM write-ability considerations Summary 9 6-FinFET SRAM Cell Access Cell Layout NPD Load FinFET PARAMETER GATE LENGTH WL GATE-OX. THICKNESS V DD FIN WIDTH VALUE Load 22nm 11Å 15nm FIN HEIGHT BODY DOPING 30nm Access 1E16cm -3 475 nm GATE WORKFUNCTION NPD BL V DD 4.75eV 1.0V BL 755 nm 1-Fin NPD: 0.36 m 2, 175mV SNM 2-Fin NPD: 0.42 m 2, 240mV SNM (36% SNM improvement w/ 17% area penalty) 10 5

6-T SRAM Design: bulk-si vs. FinFET Bulk-Si: cell area = 0.46 m 2 FinFET: cell area = 0.36 m 2 Read/Write Margin (mv) Read/Write Margin (mv) 400 350 300 250 200 150 100 50 0.5 1.0 1.5 2.0 2.5 3.0 Cell Beta Ratio 350 300 250 200 150 100 1 2 3 # Fins on NPD Write Margin Read Margin Write Margin Read Margin 11 Independent Operation The front and back gate electrodes can be isolated by a masked etch, to allow for separate biasing 1 is used for switching 2 is used for V T control Back-d FET: Drain 1 2 Source 12 6

SRAM Cell w/ Dynamic Feedback Circuit Schematic SNM is increased Soft-error immunity is enhanced! Word-line capacitance is reduced; I READ is unaffected 13 Selective Separation SEM picture of 6-FinFET SRAM cell after timed gate separation etch Access Connected s NPD Load Separated s Access Access transistor gates are selectively separated dynamic feedback 14 7

SRAM Cell w/ Dynamic Feedback (cont d) Cell Layout No area penalty is incurred to implement feedback Read vs. write margin trade-off is adjusted by M 15 4-T SRAM Design: bulk-si vs. FinFET 16 8

4-FinFET SRAM Cell SEM picture of 4-FinFET SRAM cell after gate patterning T Si = 30 nm, L g = 70 nm Access gate layer NPD fin / active layer NPD Access 17 Impact of Process Variations Comparison of SRAM SNM Distributions Mixed-mode & MC simulations: FinFET variations are due to parameter variations 3 Lg = 3 TSi = 10%L g Bulk-Si MOSFET variations are due to random dopant fluctuations only FinFET-based SRAM designs shows less variability 18 9

Systematic vs. Random Variations FinFET SRAM 6-T DG 1-Fin M =4.65eV Systematic variations have less impact than random variations SNM variation due to random mismatch in L g and T Si is ~1.8x more than that due to systematic variations 19 Outline Background / Motivation FinFET-based SRAM cell designs 6-T SRAM write-ability considerations A. Carlson et al., IEEE SOI Conference, 2006 Impact of dynamic feedback Improvement via separate write word line Summary 20 10

Measuring Write-ability C. Wann et al., IEEE VLSI-TSA, pp. 21-22, 2005 Write functionality is increasingly important Scaling reduces NMOS/PMOS drive strength ratio Write-ability is more sensitive than SNM to V DD When biased as shown, the minimum current I W is a measure of write-ability I W 0 cannot write a zero 21 Dynamic Feedback Better Write-ability Nominal Iw (ua) 25 20 15 10 5 0 M=4.65eV 0 0.0 0.3 0.6 Vch (V) 0.4 0.6 0.8 1.0 1.2 I W is increased at low V DD with dynamic feedback (Note: M values were selected so that SNM = 180mV @ V DD =0.7V) 20 VDD (V) Ich (ua) M=4.82eV Iw 22 11

Pull-Up (Load) Write Gating Connect one gate of each load transistor to a write wordline to assist with write Impact is complementary to that of dynamic feedback 3 CH 5 6 WWL 1 2 CL 4 Nominal Iw (ua) 40 35 30 25 20 15 10 5 0 Ich (ua) 20 Improved write-ability @ lower V 0 DD 0.0 0.3 0.6 Vch (V) PUWG + PGFB PUWG Conv. 0.4 0.6 0.8 1.0 1.2 VDD (V) No area penalty! 23 Dynamic feedback + PUWG can improve yield 10 10 SNM Cell Sigma 8 6 4 2 0 PUWG+PGFB PUWG PGFB Conv. 0.4 0.6 0.8 1.0 1.2 V DD (V) Iw Cell Sigma 8 6 4 2 0 PUWG+PGFB PUWG PGFB Conv. 0.4 0.6 0.8 1.0 1.2 V DD (V) Projected yield is greatest when dynamic feedback and PUWG designs are combined Enables 6-sigma design at low V DD 24 12

Outline Background / Motivation FinFET-based SRAM cell designs 6-T SRAM write-ability considerations Summary 25 Summary The FinFET structure offers improved I ON vs. I OFF and immunity to statistical dopant fluctuations FinFETs can be fabricated alongside planar bulk-si MOSFETs with minimal added process complexity attractive for embedded SRAM application The FinFET s capability for back-gated operation can be leveraged to improve cell stability and write-ability, to enable 6-T SRAM technology scaling well beyond the hp45nm node 26 13

This document was created with Win2PDF available at http://www.daneprairie.com. The unregistered version of Win2PDF is for evaluation or non-commercial use only.