Technological Challenges in Semiconductor Lithography

Similar documents
Facing Moore s Law with Model-Driven R&D

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

HOW TO CONTINUE COST SCALING. Hans Lebon

Leadership Through Innovation Litho for the future

Newer process technology (since 1999) includes :

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUV Supporting Moore s Law

NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

Optics for EUV Lithography

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Holistic View of Lithography for Double Patterning. Skip Miller ASML

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Competitive in Mainstream Products

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

EUV lithography: today and tomorrow

The future of lithography and its impact on design

Innovation to Advance Moore s Law Requires Core Technology Revolution

EUVL getting ready for volume introduction

FinFET vs. FD-SOI Key Advantages & Disadvantages

Nikon Medium Term Management Plan

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

Scaling of Semiconductor Integrated Circuits and EUV Lithography

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

CLSA Investors Forum 2017

420 Intro to VLSI Design

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

State-of-the-art device fabrication techniques

VLSI Design. Introduction

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

The Development of the Semiconductor CVD and ALD Requirement

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

EMT 251 Introduction to IC Design

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Silicon VLSI Technology. Fundamentals, Practice and Modeling. Class Notes For Instructors. J. D. Plummer, M. D. Deal and P. B.

MAPPER: High throughput Maskless Lithography

VLSI Design. Introduction

+1 (479)

Digital Integrated Circuits

ATV 2011: Computer Engineering

Integrated Circuit Technology (Course Code: EE662) Lecture 1: Introduction

Mask Technology Development in Extreme-Ultraviolet Lithography

EUV lithography: status, future requirements and challenges

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

From ArF Immersion to EUV Lithography

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

Intel Technology Journal

Enabling Breakthroughs In Technology

Enabling Semiconductor Innovation and Growth

Application-Based Opportunities for Reused Fab Lines

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Introduction to Materials Engineering: Materials Driving the Electronics Revolution Robert Hull, MSE

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Introduction to VLSI ASIC Design and Technology

R&D Status and Key Technical and Implementation Challenges for EUV HVM

GIGAPHOTON INTRODUCTION

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

New Process Technologies Will silicon CMOS carry us to the end of the Roadmap?

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Legacy & Leading Edge Both are Winners

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Photolithography Technology and Application

Lithography in our Connected World

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Lecture 0: Introduction

Update on 193nm immersion exposure tool

Part 5-1: Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Optical Microlithography XXVIII

Feature-level Compensation & Control

Roadmap Semiconductor Equipment Innovation Agenda

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

The SEMATECH Model: Potential Applications to PV

Limitations and Challenges to Meet Moore's Law

Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

Status and challenges of EUV Lithography

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

research in the fields of nanoelectronics

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services

IMI Labs Semiconductor Applications. June 20, 2016

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Triple i - The key to your success

Transcription:

Technological Challenges in Semiconductor Lithography some aspects of projection lithography technology and its position in high tech industry and academia Ramin Badie ASML Research 2014

What do I want to share with you today Confidential Slide 2 17 February 2014 Share some general knowledge on the subject of projection lithography in the semiconductor industry from the standpoint of an ASML employee. Importance of engineering approach combined with academic knowledge in high tech industry using some examples from fluid dynamics and heat transfer in lithography tools. Some personal thoughts on the successes of ASML and its way of working in the lithography market. Discussion on the role of the high tech industry in the Netherlands.

Billion 50 Anything will be connected! that can benefit form connection THINGS 6 2 1875 1900 1925 1950 1975 2000 2025 Source: Ericsson, ISS Europe, Feb 2011 Inflection points for our industry PEOPLE PLACES

Integrated Circuits (chips) are integrated in our societal use Slide 4 16 October 2013

IC units, in billions 200 180 160 140 120 100 Data: WSTS More than 180 billion chips are made every year 80 60 40 20 0 Total IC units 1990 1992 1994 1996 1998 2000 2002 2004 2006 2008 2010 2012 In 2012, 185 billion chips were produced 27 for every man, woman and child on the planet. Slide 5 16 October 2013

1947 The Point contact transistor Bell Labs How it all got started... 1958 The First IC Texas Instruments 1961 The First Planar IC Fairchild

Slide 7

Lithography enables affordable connected electronics, improving quality of life and sustainability Slide 8 January 2013 $1,469 B Electronic Applications in 2012 $1,423 B in 2011, $1,343 B in 2010 $ 6.5 B Semiconductor Litho market in 2012 $8.2 B in 2011 $6.4 B in 2010 $297.6 B Semiconductor Chips in 2012 $306.8 B in 2011, $301.5 B in 2010 Source: Gartner Q4/12 and ASML

Driving the semiconductor industry: Moore s Statement Slide 9 16 October 2013 Gordon Moore (1965): Number of transistors per chip doubles every year. Later adjusted to two years, the trend has held for more than four decades.

Device roadmaps support shrink ambitions 2011-2012 2013-2014 2015-2016 2017-2018 22-20nm 16-14nm 11-10nm 8-7nm Logic Memory: 0.08um2, SRAM Device: planar or FinFET (Intel) Gate: RMG-HKMG Channel: Si Strain: stressor Vdd: 0.8V Memory: 0.05um2 SRAM Device: FinFET, FDSOI Gate: RMG-HKMG Channel: Si; (Si)Ge Strain: stressor Vdd: 0.6V Memory: 0.03um2 SRAM Device: FinFET Gate: HKMG Channel: Si, Ge, IIIV Strain: stressor Vdd: 0.5V Memory: FBRAM, STT-RAM, >8TSRAM Device: Nanowire, TFET Gate: HKMG Channel: IIIV-Graphene DRAM Flash 38-32nm Memory: stacked MIM Peri: planar Array: 6F2, bwl Gate: poly/sio2 Channel: Si Vdd=1.35V 24-19nm hp 32-28nm Memory: stacked MIM Peri: planar HKMG Array: 6F2, bwl Gate: HKMG Channel: Si Vdd=1.2V 16-14nm hp Node: 26-22nm Memory: stacked MIM Peri: planar Array: 4F2, bbl, LBL, 1T1C(VFET) Gate: HKMG Channel: Si Vdd=1.1V 13-11nm hp Node: 18-15nm Memory: FBRAM, STT-RAM, RRAM Peri: planar Array: 4F2, 1T, 1T1R, 1T1MTJ(VFET) Gate: HKMG Channel: Si Vdd~1V < 10nm hp 4.5F - 6F2 asymm. cell Density: 64-128G Device: FG Source: IMEC, ASML TDC, June 2011 ONO or CG High-k FG FG STI 6F2 asymmetric cell 4F2 symmetric cell Density: 256-512G Device: dual-fg Slide 10 7F2 asymmetric cell 4F2 symmetric cell Density: 512-1024G Device:: dual-fg, Intro to BiCS, Density: > 1T with 3D chip stacking Device: 3D BiCS, XPoint-RRAM Selector: diode

Moore s Statement makes chips cheaper Slide 11 16 October 2013 10000 $1,162 for 1 GB 1000 NAND Flash price $/GByte 100 10 1 $0.17 for 1 GB 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 2015 Source: Gartner. High quality Flash

and more energy-efficient Computations per Kilowatt hour double every 1.5 years Slide 12 16 October 2013 Computations per kwh 1.E+16 1.E+15 1.E+14 1.E+13 1.E+12 1.E+11 1.E+10 1.E+09 1.E+08 1.E+07 1.E+06 1.E+05 1.E+04 1.E+03 1.E+02 1.E+01 Univac I Eniac EDVAC SDS 920 IBM PC Cray 1 supercomputer DEC PDP-11/20 Univac II Univac III (transistors) Dell Dimension 2400 Gateway P3. 733 MHz IBM PS/2E + Sun SS1000 IBM PC-AT IBM PC-XT Commodore 64 2008 + 2009 laptops SiCortex SC5832 Dell Optiplex GXI 486/25 and 486/33 Desktops Regression results: N = 76 Adjusted R-squared = 0.983 Comps/kWh = exp(0.440243 x year 849.259) Average doubling time (1946 to 2009) = 1.57 years 1.E+00 1940 1950 1960 1970 1980 1990 2000 2010 Source: Jonathan Koomey, Lawrence Berkeley National Laboratory and Stanford University, 2009

Moore s statement means doing more with less Cray 1: The first supercomputer Slide 13 16 October 2013 8 megabytes of memory 5.5 tons 150 kilowatt power supply Innovative Freon cooling system $8.8 million ($30 million in today s dollars) 1976

Moore s statement means doing more with less Slide 14 16 October 2013 The supercomputer in your pocket: a fraction of the materials, price, power consumption 1976 2013

10 Cheaper chips drive market growth 1,000 Slide 15 16 October 2013 MP3 player Flash units (in billions) Digital cameras Smart phones Solid state hard drives 100 10 Flash price per GB (in dollars) Total flash units [B] 1 2000 Total 2001 Total 2002 Total 2003 Total 2004 Total Flash price 1 GB [$] 2005 Total 2006 Total 2007 Total 2008 Total 2009 Total 2010 Total 2011 Total 1

A virtuous cycle Slide 16 16 October 2013

Everyday objects get connected Slide 17 16 October 2013 GPS Fleet tracking Cash registers Smart meters Wireless IP camera

New devices, new applications Slide 18 16 October 2013 Gyroscope (UC Irvine) Wearable sensors (Holst Centre) Camera pill with camera, transmitter and computer DNA analysis (Affymetrix) Accelerometer (IC Mechanics) Micromirrors for beamers (TI) On-Chip DNA amplification and detection (imec/panasonic) Lab on a Chip (LOC) for counting red blood cells

Confidential Slide 19 17 February 2014 About ASML

ASML provides lithography equipment to produce smaller and more powerful chips Slide 20 January 2013 The Semiconductor Manufacturing Process A variety of complementary suppliers provide the other tools, materials and packaging equipment necessary to make ICs

ASML makes machines for making chips Lithography is the critical tool for producing chips Slide 21 16 October 2013 2012 sales: 4.73 bln More than 70 offices in 16 countries More than 13.012 employees (in FTEs, payroll and flexible contracts)

Founded in 1984 as a spin-off from Philips Slide 22 17 February 2014

First Philips system operational in 1975 Lens: Tulipe, Cerco (Paris) 5X reduction NA=0.26 field=10x10mm λ=436 nm resolution= 2 um Stages: hydraulic wafer:x-direction lens: Y-direction interferometric X/Y control Alignment: through the lens Focus: air pressure Wafer: 3 and 4

17-Feb- Slide 24

resulting in the systems that conquered the market In 30 years: From 1,200 nm to less than 20 nm resolution From <0.5M per system to >60M 1984: PAS 2000 Resolution: >1µm overlay: 250 nm 1989: PAS 5000 Resolution: <500 nm overlay: 100 nm 1990 s: PAS 5500 steppers/scanners Resolution: 400 to 90 nm overlay: 100 to 12 nm Slide 25 2000 s: Twinscan Resolution: 100 to 38 nm overlay: 20 to 4 nm 2010 s: NXE EUV systems Resolution: 32 to <20 nm overlay: 2 nm

Key to Moore s Law: Making smaller transistors Slide 26 16 October 2013 Transistor length has shrunk by a million The first integrated circuit on silicon, on a wafer the size of a fingernail (Fairchild Semiconductor, 1959) Today: More than a billion transistors on the same area (Intel, 2012)

The principle of Lithography Rayleigh equation: Resolution = k 1x λ NA Slide 27

Lithography is at the heart of chip manufacturing Repeat 30 to 40 times to build 3 dimensional structure / Slide 28

Chip has more than one layer Slide 29

The manufacturing loop Slide 30 16 October 2013 Ion implantation Stripping Deposition Etching Developing Photoresist coating Exposure

Fluid thinking: the liquid lens scanner Lens Air Lens Water Slide 31

Main Cause Class Immersion defects Droplets Droplets Particles/ Droplets Standard catalogue, put together with customers Pattern expansion Drying stains Inverted attenuation Bubbles Pattern attenuation examples Main Cause Particles Process Develop Generic Class Printed particle Bridge Micro Bridge Missing Pattern Examples Slide 32

Immersion Research Program: Competence Build-up In co-operation with FOM, UT, TUD, TUE, and many other European universities, academia and research centers partly within EC funded project Advanced visualization techniques of flow and temperature in micro-layers in immersion tools Application of latest theories in contact line dynamics, wetting and dewetting to immersion lithography parameters Latest theories in surface chemistry applied to immersion parameters Development of up-to-date models for understanding and better solution finding of phenomena in immersion lithography Slide 33

Some memorable highlights related to immersion technology in IC lithography Spring 2003: next most probable technology for 65 nm and below identified as 157 nm source dry technology and EUV (May 2003, Materials Today, Elseviers Science: Roadmap Key Challenges by A. Wolfgang, Infenion Technology) Spring 2003: ASML launched project on converting existing tool to an immersion tool November 2003: first demo immersion tool at ASML December 2003: announcement first industrial immersion lithography tool July 2005: announcement first (>1 NA) immersion tool to be shipped Q1 2006 for production down to 45 nm July 2007: first shipment of highest water-based NA (1.35) enabling 38 nm technology node Q1 2008: ASML reports more than 85 immersion tools shipped since 2005 and more than half of the Q1 net sales due to immersion tools February 2010: ASML announces shipment of its 100th 1900 series (NA=1.35) immersion tools Slide 34

Extreme UV Lithography Slide 35

The future of lithography: EUV Slide 36 16 October 2013 Large vacuum chamber New light source Mirror optics

Maintaining a clean vacuum Slide 37 16 October 2013 We need to maintain a clean vacuum, but every time we expose a wafer, the photoresist releases trillions of particles

Firing a laser on a tin droplet 40,000 times a second Slide 38 16 October 2013 Laser-Produced Plasma (LPP) source CO2 drive laser Tin droplets plasma Collector

Mirrors: Polished to sub-nanometer accuracy Slide 39 16 October 2013 EUV mirrors are polished to an accuracy of ~50 picometers less than the diameter of a silicon atom. Blown up to the size of the Netherlands, the biggest difference in height would be less than a millimeter.

Confidential Slide 40 17 February 2014 How does it work today at ASML?

A global presence Wilton (CT) Korea Slide 41 16 October 2013 San Diego (CA) Veldhoven Tempe (AZ) Taiwan

Confidential Slide 42 17 February 2014 Why is ASML considered as successful in this region?

Our leadership is the result of a talented workforce 49% of personnel has Master, of which approximately 500 PhDs 100% Education level Slide 43 16 October 2013 33% of personnel has Bachelor as highest degree 90% 80% 70% 60% R&D: >2,900 payroll + >1,400 contracted 50% 40% ASML employees >5,500 in the Netherlands 30% 20% 10% Integrated knowledge network: approximately another 20,000 jobs. More than 600 suppliers compete to offer the best technology first 0% ASML worldwide ASML Netherlands Various < Bachelor Bachelor Master Development And Engineering Research

Open Innovation makes complexity and cost manageable Slide 44 16 October 2013 Customers Technology partners Suppliers Academia

Sharing risk and reward Customer firm order Shipment Suppliers bear some of the risk and participate in the rewards. Slide 45 16 October 2013 Buy raw materials Manufacture modules Start system assembly Value Mutual transparency ensures that risks are well understood and minimized. QLTC sourcing model (Quality, Logistics, Technology, Cost) means that suppliers do not compete solely on cost. Limited Commitment Zone Firm Zone Time

Partners can adapt technology for other markets Slide 46 16 October 2013 VDL Enabling Technologies Group makes wafer handlers: Knowledge on positioning and temperature management was leveraged for other customers in semiconductors, solar panels and LED lighting. Prodrive makes advanced digital processing and power systems: Technology developed for ASML was re-used in products for medical, consumer electronics and transport markets.

Confidential Slide 47 17 February 2014 What is the role of R&D in the Netherlands?