Holistic View of Lithography for Double Patterning. Skip Miller ASML

Similar documents
Imaging for the next decade

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL getting ready for volume introduction

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Advanced Patterning Techniques for 22nm HP and beyond

Competitive in Mainstream Products

Metrology in the context of holistic Lithography

EUV lithography: today and tomorrow

Update on 193nm immersion exposure tool

EUV Supporting Moore s Law

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Status and challenges of EUV Lithography

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Lithography on the Edge

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

From ArF Immersion to EUV Lithography

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Process Optimization

EUV lithography: status, future requirements and challenges

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Leadership Through Innovation Litho for the future

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

R&D Status and Key Technical and Implementation Challenges for EUV HVM

(Complementary E-Beam Lithography)

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Mask Technology Development in Extreme-Ultraviolet Lithography

Optical Microlithography XXVIII

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

ASML s customer magazine

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

DSA and 193 immersion lithography

Enabling Semiconductor Innovation and Growth

Beyond Immersion Patterning Enablers for the Next Decade

Optical Maskless Lithography - OML

Credit Suisse European Technology Conference 2008

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

Optics for EUV Lithography

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

MICRO AND NANOPROCESSING TECHNOLOGIES

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Next-generation DUV light source technologies for 10nm and below

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Negative tone development process for double patterning

2009 International Workshop on EUV Lithography

The future of lithography and its impact on design

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Business Unit Electronic Materials

What s So Hard About Lithography?

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Imec pushes the limits of EUV lithography single exposure for future logic and memory

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Challenges of EUV masks and preliminary evaluation

Mask magnification at the 45-nm node and beyond

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

OPC Rectification of Random Space Patterns in 193nm Lithography

In-line focus monitoring and fast determination of best focus using scatterometry

Optical Maskless Lithography (OML) Project Status

Evaluation of Technology Options by Lithography Simulation

High-NA EUV lithography enabling Moore s law in the next decade

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

NXE: 3300B qualified to support customer product development

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

Computational Lithography

16nm with 193nm Immersion Lithography and Double Exposure

HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM

Optimizing FinFET Structures with Design-based Metrology

Nikon EUVL Development Progress Update

Reducing Proximity Effects in Optical Lithography

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

Transcription:

Holistic View of Lithography for Double Patterning Skip Miller ASML

Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 2

Shrink Continues Lithography keeps adding value Average of multiple customers input 200 Logic / SRAM Resolution/half pitch, "Shrink" [nm] 100 80 60 50 40 30 20 DRAM k 1 0.30 ~ 0.35 NAND Flash k 1 0.27 ~ 0.30 Logic NAND 6 Transistor SRAM Cell k 1 0.40 ~ 0.44 DRAM 1/1/15 1/1/14 1/1/13 1/1/12 1/1/11 1/1/10 1/1/09 1/1/08 1/1/07 1/1/06 1/1/05 1/1/04 1/1/03 1/1/02 Year of production start* * Note: Process development 1.5 ~ 2 years in advance Slide 3

Most Likely Lithography Roadmap DPT will bridge gap between single exposure 193nm & EUV Half pitch (nm) 100 65 45 32 22 16 11 Year 2005 2007 2009 2011 2013 2015 λ (nm) NA 248 0.93 0.34 193 0.93 0.31 Double Patterning 1.20 0.40 0.28 1.35 Low k 1 challenge 0.31 0.22 0.15 Infrastructure challenge 13.5 0.25 0.41 0.32 0.52 0.38 0.40 0.47 0.33 k1 = (half-pitch) * numerical aperture / wavelength Most likely Opportunity Unlikely Slide 4

Options to print below immersion single exposure limit Cost, complexity and cycle time *Wafer does not leave the exposure system between the two exposures Single exposure *Wafer preferably does not leave the litho cell between the exposures Double exposure *Wafer leaves litho cell for etch between the exposures Litho DPT - LFLE Litho DPT - LELE Spacer DPT SiON /HM Etch Clean Strip Film Etch Metrology Develop Expose Top coat Resist BARC SiON / SiC Hard Mask Device film Si Slide 5

Required Litho CD Uniformity vs Half Pitch DPT drives need for significant improvement in CDU 4.5 4.0 3.5 7% CD Single Expose 193 nm SE-CDU Spacer-CDU Litho DPT-CDU CD Uniformity [nm] 3.0 2.5 2.0 1.5 1.0 0.5 0.0 3.5% CD Litho DPT 3% CD Spacer DPT Metrology precision: 20% of CDU 7% CD Single Expose EUV 100 80 60 50 40 30 20 Half Pitch [nm] Slide 6

Required Litho Overlay vs Half Pitch DPT drives need for significant improvement in Overlay 14 12 20% of CD Single Expose/ spacer DPT 193 nm SE-Overlay DPT-Overlay 10 Overlay [nm] 8 6 4 7% of CD Litho DPT 193 nm 20% CD Single Expose EUV 2 Metrology precision: 0 10% of Overlay 100 80 60 50 40 30 20 Half Pitch [nm] 10 Slide 7

Lithography Requirements Summary DPT requires improved overlay & imaging, as well as higher productivity Litho exposure equipment parameter as percentage of CD Single exposure Litho double patterning Spacer double patterning CD 7% 3.5% 3% Overlay (depending on DFM) 20% 7% 7-20%* #mask steps 1 2 2-3 # process steps relative to single exposure 1 2 3-4 Requires improved productivity for CoO Requires improved imaging & overlay control * Depending on the amount of Design For Manufacturing effort Slide 8

Outline Lithography Requirements ASML Holistic Lithography Solutions Conclusions Slide 9

DPT Requires Holistic Litho Optimization Combination of Computational and Wafer lithography Mask definition New NXT Platform for Lithography Metrology Illumination setting Mask making Scanner settings Printed wafers Device pattern Computational Litho Scanner-to-pattern tuning Wafer Litho Measuring CD & Overlay Slide 10

NXT:1950i Innovations for Superior Overlay & Imaging at 200wph Reticle Stage with Improved Dynamics NXT is extendable with field upgrades Improved lens performance & control Planar wafer stages Grid plate position measurement (encoder) Slide 11

NXT wafer stage metrology impact on overlay Y- coordinate X-coordinate Current stage metrology Interferometer 300 mm stage Overlay [nm, 99.7%] 10 8 6 4 2 0 Overlay: < 4 nm 1 2 3 4 5 6 7 8 9 10 11 System [#] (ArF 0.93NA) Improved metrology Grid plate <15 mm stage Overlay [nm, 99.7%] 10 8 6 4 2 0 Overlay: < 2 nm 1 2 3 4 5 6 7 8 9 10 11 Wafer [#] (prototype) Slide 12

NXT: improved overlay at high throughput zone alignment (30 mm) radius 6 parameters model 100 80 Throughput [%] NXT:1950i + Grid-Align NXT:1950i 60 40 scheme robust for grid deformations 20 10 0 30% 8 minimum for zone alignment typical usage minimum for 6 parameters 6 REDUCE NOISE statistical averaging of process effects expected usage 4 2 On Product Overlay M+3S [nm] 0 0 10 20 30 40 50 60 70 Number of alignment mark pairs 4 8 12 45 45 Number of alignment mark pairs MEASURED OVERLAY THROUGHPUT Slide 13

NXT innovations bring immersion overlay < 2 nm x, y : 1.6, 1.7nm 3 day overlay 8 X Y 6 4 Lot x,y (nm): 2.0,1.8 5 nm 99.7% x: 1.6 nm y: 1.7 nm 8 6 1 day overlay X Y 2 0 0 5 10 15 20 4 Lot x,y (nm): 1.7,1.6 2 0 0 5 10 15 20 Slide 14

Ultimate half pitch at 1.35NA: 36.5 nm Large process window with 10% EL and 500nm DOF F=-0.12um F=0.0um F=0.12um F=-0.21um 15 k 1 =0.255! F=0.21um F=-0.3um NA=1.35 σ=0.989/0.913 Dipole-20 degree X Y polarization 6% att. PSM exposure latitude [%] 10 5 0 10 % EL 500 nm DOF 0 0.5 1 DOF [um] Slide 15 F=0.3um

CD uniformity (3σ) below 0.9 nm with extreme dipole 38-nm dense lines measured CD uniformity Full wafer CDU = 0.87 nm without reticle and process correction 35 30 25 frequency 20 15 10 Settings: 1.35 NA with dipole 20 o and sigma inner 0.90, sigma outer 0.98 5 0 36.025 36.425 36.825 37.225 37.625 38.025 CD [nm] 38.425 38.825 39.225 39.625 40.025 Slide 16

Through lot wafer to wafer variation CDU <0.3 nm 38-nm dense lines with dipole illumination 3sigma (nm) 3.0 2.5 2.0 1.5 1.0 0.5 full wafer CDU intra-field CDU CD 38.0 31.5 37.5 31.0 30.5 37.0 30.0 36.5 29.5 36.0 29.0 35.5 28.5 35.0 CD (nm) 0.0 NA=1.35 Sigma=0.98/0.90 DipoleX-20 TE-polarized 28.0 34.5 1 4 8 11 14 17 20 23 Pooled Wafer No correction applied for processing-profiles Slide 17

ASML system throughput roadmap drives CoO ATP throughput [WPH] 200 160 120 80 40 150 mm stepper Wavelength Wafer size Immersion ArF KrF i-line g-line 200 mm stepper 150 mm 200 mm 300 mm 200 mm scanner 300 mm NXT 300 mm AT & XT 0 1985 1990 1995 2000 2005 2010 Year of introduction Source: ASML Slide 18

NXT Immersion design : single digit defect level 45nm Pattern Defect Test (10 wafers) 20 Printing Particles Immersion specific 15 #defects 10 5 0 KLA2800 metrology Using top-coat TCX041 (SRCA 68 degree) 1 2 3 4 5 6 7 8 9 10 mean Wafer number Slide 19

20 15 NXT Immersion design : single digit defects across multiple systems 45nm Pattern Defect Test (10 wafers) on 4 systems Printing Particles Immersion specific #defects 10 5 0 KLA2800 metrology Using top-coat TCX041 (SRCA 68 degree) 1 2 3 4 Average System Slide 20

Holistic litho enables low k 1 / DPT applications Scanner tuning knobs DoseMapper for optimum CD Uniformity Correction Application specific recipe Scanner specific performance Mask Enhanced Computational Lithography Mask OPC/RET Optimization GridMapper for minimizing Overlay grid residuals ImageTuner for Application specific lens setup Free form DOE/Flexible illuminator for Application specific illumination optimization Improved Productivity, Overlay and Critical Dimension Uniformity (CDU) Faster ramp up and improved yield Metrology control loops Baseliner for Twinscan stability, matching and set-up + = Source -mask optimization Freeform illuminator/mask Pattern Matcher for consistency across installed base Slide 21

Co-optimization of source shape and pattern split Enables optimum process window Source Mask Overlapping PW DOF @ 10% EL CD Uniformity Source Mask 100 nm The illumination Source & Mask are co-optimized to improve process window and CD Uniformity 150 nm By combining source expertise from ASML and mask expertise from Brion, enables larger process window with better CD Uniformity Slide 22

Litho and application aware double patterning Example: 2D logic gate aware split & model based overlay stitching Standard Gate aware split Poor pattern split on critical gate Optimal pattern split on overlay tolerant locations Diffusion Poly Split 1 Poly Split 2 Slide 23

DPT requires a Fast & Flexible Source ASML FlexRay Slide 24

Holistic Litho Solution to optimize DPT CDU Pitch =128 nm Calculate Dose & Grid Map to optimize final CDU Etch &2nd litho step Etch CD metrology of lines & spaces Pitch = 64 nm S2 L1 S1 L2 S2 L1 S1 L2 S2 L1 S1 L2 Slide 25

Litho patterning process control for CD and Overlay Measurements of final 32 nm L/S using angular resolved scatterometry Raw etched poly CDU Mean CD Overlay between litho 1 and 2 < 4.9 nm < 7.0 nm < 6.3 nm 99.7% OVL X = 4.0 nm 99.7% OVL Y = 4.2 nm 10 nm Line1 Line2 DoseMapper recipe DoseMapper recipe Optimum GridMapper recipe < 2.8 nm < 3.8 nm < 0.8 nm 99.7% OVL X = 3.2 nm 99.7% OVL Y = 3.4 nm DoseMapper corrected etched poly CDU Slide 26 mean CD Data generated in collaboration with IMEC 10 nm

Spacer litho control improves wafer CDU Measurements of final 32 nm L/S using angular resolved scatterometry Uncontrolled S 1 3σ=3.92 S 2 3σ=3.46 3σ S 1 -S 2 =6.06 3σ L1,L2=2.12 Controlled S 2 3σ=2.74 S 1 3σ=2.1 3σ S 1 -S 2 =3.27 3σ L1,L2=1.99 Slide 27

Outline Lithography Requirements ASML Holisitic Lithography Solutions Conclusions Slide 28

ASML Delivers Solutions to Drive Industry Shrink DPT will bridge gap between single exposure 193nm & EUV 200 Resolution, Shrink (nm) 100 80 60 50 40 AT:850 AT:1200 ASML Product Introduction Logic NAND XT:1400 DRAM XT:1700i XT:1900i Immersion Double Patterning Holistic Litho 30 NXT:1950i EUV EUV 00 Source: ASML 01 02 03 04 05 06 Year of Production Start Slide 29 07 08 09 10 11 12

Conclusions DPT will be used as a litho solution to enable future shrink as bridge between single exposure immersion & EUV DPT requires aggressive overlay & imaging requirements at high productivity NXT:1950 delivers required overlay & imaging at high tput to enable a cost effective DPT solution Co-optimization of source and pattern split via Brion products maximizes process window for DPT FlexRay delivers fast & flexible source shapes required for lowk 1 / DPT technology Holistic Litho optimization delivers solutions to optimize cdu & overlay to meet future lithography requirements Slide 30

EUV Double Patterning Immersion MC Escher THANK YOU! Slide 31