Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing

Similar documents
New Devices for Ultra Low Energy Information Processing

Integrated Circuit Design with Nano-Electro-Mechanical Switches

Sustaining the Si Revolution: From 3D Transistors to 3D Integration

The Path Toward Efficient Nano-Mechanical Circuits and Systems

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors.

Electronics Proliferation through Diversification of Solid-State Devices and Materials

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor

Tunneling Field Effect Transistors for Low Power ULSI

CMOS Scaling Beyond FinFETs: Nanowires and TFETs

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus

Sub-Threshold Region Behavior of Long Channel MOSFET

III-V CMOS: Quo Vadis?

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors

Lecture #29. Moore s Law

Experimentally reported sub-60mv/dec

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Week 9a OUTLINE. MOSFET I D vs. V GS characteristic Circuit models for the MOSFET. Reading. resistive switch model small-signal model

Digital Integrated Circuits

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Depletion-mode operation ( 공핍형 ): Using an input gate voltage to effectively decrease the channel size of an FET

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Session 10: Solid State Physics MOSFET

NAME: Last First Signature

FinFET-based Design for Robust Nanoscale SRAM

Nanometer-Scale InGaAs Field-Effect Transistors for THz and CMOS Technologies

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

III-V CMOS: the key to sub-10 nm electronics?

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

CMOS circuits and technology limits

InGaAs Nanoelectronics: from THz to CMOS

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

CHAPTER 2 LITERATURE REVIEW

Pushing Ultra-Low-Power Digital Circuits

UNIVERSITY OF CALIFORNIA AT BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences.

InAs Quantum-Well MOSFET for logic and microwave applications

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Towards Sub-10 nm Diameter InGaAs Vertical nanowire MOSFETs and TFETs

Transport properties of graphene nanoribbon-based tunnel

Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch

Power Spring /7/05 L11 Power 1

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

MOS Capacitance and Introduction to MOSFETs

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

C H A P T E R 5. Amplifier Design

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Silicon Single-Electron Devices for Logic Applications

1 Digital EE141 Integrated Circuits 2nd Introduction

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Integration of III-V heterostructure tunnel FETs on Si using Template Assisted Selective Epitaxy (TASE)

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

InGaAs MOSFETs for CMOS:

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

EFFECT OF THRESHOLD VOLTAGE AND CHANNEL LENGTH ON DRAIN CURRENT OF SILICON N-MOSFET

InGaAs MOSFET Electronics

Introduction to VLSI ASIC Design and Technology

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

High performance Hetero Gate Schottky Barrier MOSFET

Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than

Comparison of 32nm High-k Metal Gate Predictive Technology Model CMOS and MOSFET-Like CNFET compact Model Based Domino logic Circuits

Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Effect over Bulk MOSFET at 20nm

Supporting Information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017

Lecture Wrap up. December 13, 2005

Optimization of Double Gate Vertical Channel Tunneling Field Effect Transistor (DVTFET) with Dielectric Sidewall

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

EECE2412 Final Exam. with Solutions

An Overview of Static Power Dissipation

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Future MOSFET Devices using high-k (TiO 2 ) dielectric

FinFET Devices and Technologies

Design of Optimized Digital Logic Circuits Using FinFET

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Practical Information

Low Power Design for Systems on a Chip. Tutorial Outline

Design Considerations and Tools for Low-voltage Digital System Design

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Active Decap Design Considerations for Optimal Supply Noise Reduction

Device architectures for the 5nm technology node and beyond Nadine Collaert

CMOS System-on-a-Chip Voltage Scaling beyond 50nm Abstract Circuit and Device Models Introduction

VLSI Based Design of Low Power and Linear CMOS Temperature Sensor

Part II: The MOS Transistor Technology. J. SÉE 2004/2005

Carbon Nanotube Bumps for Thermal and Electric Conduction in Transistor

Leakage Modeling for Devices with Steep Sub-threshold Slope Considering Random Threshold Variations

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Practical Information

Nanoscale III-V CMOS

A New Self-aligned Quantum-Well MOSFET Architecture Fabricated by a Scalable Tight-Pitch Process

Transistors for VLSI, for Wireless: A View Forwards Through Fog

Compact Modeling of Silicon Carbide Lateral FETs for High Temperature Analog and Digital Circuits

Transcription:

Beyond Transistor Scaling: New Devices for Ultra Low Energy Information Processing Prof. Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley, CA 94720 April 28, 2009 6 th US Korea Nano Forum (Las Vegas, NV, USA)

The CMOS Power Crisis

The CMOS Power Crisis Due to off state leakage, V TH cannot be scaled down aggressively. Thus, the supply voltage (V DD ) has not been scaled down in proportion to the MOSFET channel length. CMOS power density has increased with transistor scaling! V DD V DD V TH V TH CMOS Voltage Scaling Source: P. Packan (Intel), 2007 IEDM Short Course Power Density (W/cm 2 ) 1E+03 1E+02 1E+01 1E+00 1E-01 1E-02 1E-03 1E-04 1E-05 Power Density with CMOS Scaling Passive Power Density Active Power Density 0.01 0.1 1 Gate Length (μm) Source: B. Meyerson (IBM) Semico Conf., January 2004 3

Parallelism Power Density (W/cm2) 10000 1000 100 up Chip Power DensityTrend Sun s Surface Rocket Nozzle Nuclear Reactor 8086 Core 2 10 4004 Hot Plate P6 80088085 8080 286 386 Pentium proc 486 1 1970 1980 1990 2000 2010 Year Source: S. Borkar (Intel) Normalized Energy/op 100 80 60 40 20 Operate at a lower energy point Run in parallel to recoup performance 0 10 0 10 1 10 2 10 3 10 4 1/throughput (ps/op) Parallelism is the main technique to improve system performance under a power budget. 4

Minimizing Operation Energy CMOS Energy per Operation 100 CMOS Energy vs. Delay 80 E leakage E total E dynamic Normalized Energy/op 60 40 20 0 10 1 10 2 10 3 10 4 10 5 1/throughput (ps/op) E dynamic + E leakage = αl d CV dd2 + L d I OFF V dd t delay t delay = L d CV dd /(2I ON ) CMOS has a fundamental lower limit in energy per operation, due to subthreshold leakage. 5

The Need for a New Switch CMOS Energy vs. Delay (normalized) Today: Parallelism lowers E/op Future: Parallelism doesn t help Delay When each core operates at the minimum energy, increasing performance requires more power. 6

New Switching Devices

MOSFET Subthreshold Swing MOSFET Structure: n(e) exp( E/kT) Electron Energy Band Profile log I D I ON Source Gate Drain increasing E S I OFF VDD Substrate distance V G In the subthreshold region (V GS < V TH ), I S 60mV/dec at room temperature D exp qv nkt GS S must be reduced in order to achieve the desired I ON /I OFF with smaller V DD 8

Tunnel FET (TFET) Structure: Energy band Diagrams: OFF STATE: ON STATE: Gate p+ Source n+ Drain Substrate E C E V E C E V tunneling current I D bandgap (E g ) = aξ exp( b / ξ ) Si TFET I V Characteristics W. Y. Choi et al. (Seoul Nat l U. & UC Berkeley) IEEE EDL vol. 28, pp. 743 745, 2007 Drain Current (A/µm) 10-5 10-6 10-7 10-8 10-9 10-10 V D =1 V V D = 0.1 V SS = 52.8 mv/dec T = 300 K L G = 70 nm t ox = 2 nm t SOI = 70 nm W = 10 µm 0.0 0.2 0.4 0.6 0.8 1.0 Gate Voltage (V) ξ = a V A GS + V κt ox tunnel m * / E g b m * / E 3 g 9

Energy Performance Comparison H. Kam et al. (UCB, Stanford U.), 2008 IEDM 1.E-15 ] [J 1.E-16 y rg e n E1.E-17 CMOS TFET Si TFETs appear promising for sub 1GHz applications 1.E-18 1.E-02 1.E-01 1.E+00 1.E+01 Performance (GHz) 30-stage 65nm CMOS inverter chain (transition probability=0.01, capacitance per stage=2.4ff) 10

TFET Technology Challenges Increased I ON to expand range of applications Advanced semiconductor materials to achieve smaller effective E g V TH control TFET based integrated circuit design 11

MOSFET Inspired Relay OFF state V GB < V TH F. Chen et al. (MIT, UCB, UCLA), 2008 ICCAD ON state V GB V TH The mechanical gate is electrostatically actuated by a voltage applied between the gate and body electrode, to bring the channel into contact with the source and drain electrodes. Plan View Micrograph Measured I V 1.E-2 Ideal switching behavior: Zero off state leakage Abrupt turn on low V TH (and V DD ) possible! I DS (A) 1.E-6 1.E-10 1.E-14 V DS = 0.5V V Body =0V Gate: W =2µm L=20µm H =200nm actuation gap = 400nm 0 5 10 15 20 V GB (V) L 12

Relay Scaling F. Chen et al. (MIT, UCB, UCLA), 2008 ICCAD Scaling has similar benefits for relays as for MOSFETs. Pull in Voltage with Beam Scaling Measured pull in voltages scale linearly {W,L,t gap } = {90nm,2.3um,10nm} V pi = 200mV Mechanical delay also scales linearly (~10ns @ 90nm) 13

Relay Based Circuit Design F. Chen et al. (MIT, UCB, UCLA), 2008 ICCAD Relays have small RC delay but large mechanical delay Complete all logic in a single complex (pass transistor) gate Example of CMOS to Relay Logic Mapping: Energy vs. Delay Comparison: (32 bit adders, 90nm technology) 10x reduction CMOS fundamental limit A relay adder can be : ~10x more energy efficient at the same delay as a CMOS adder. 14

Relay Technology Challenges Surface adhesion force Mechanical contact resistance Relay I-V Characteristic Reliability I DS hysteresis due to surface force V rel V pi V GS 15

Summary

Summary Due to subthreshold leakage, CMOS technology has a fundamental limit in energy efficiency. New switching devices with steeper switching behavior are needed to achieve lower energy per operation. Examples: tunnel FET, relay Note: Such devices may have very different characteristics than the MOSFET. Thus, they will require new circuit and system architectures to fully realize their potential energyefficiency (and hence performance) benefits. 17

Acknowledgements Collaborators: Faculty: Elad Alon (UCB), Dejan Markovic (UCLA), Vladimir Stojanovic (MIT) Students: Hei Kam, Fred Chen (MIT) Research funding:» DARPA STEEP Program» DARPA/MARCO Focus Center Research Program: Center for Circuits and Systems Solutions (C2S2) Center for Materials, Structures, and Devices (MSD) UC Berkeley Microfabrication Laboratory 18