Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Similar documents
TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Facing Moore s Law with Model-Driven R&D

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Technological Challenges in Semiconductor Lithography

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

Competitive in Mainstream Products

Leadership Through Innovation Litho for the future

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Holistic View of Lithography for Double Patterning. Skip Miller ASML

The Development of the Semiconductor CVD and ALD Requirement

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Enabling Semiconductor Innovation and Growth

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

(Complementary E-Beam Lithography)

CLSA Investors Forum 2017

EUV Supporting Moore s Law

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Roadmap Semiconductor Equipment Innovation Agenda

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

EUVL getting ready for volume introduction

Lithography Industry Collaborations

Status and challenges of EUV Lithography

The SEMATECH Model: Potential Applications to PV

Economic Impact of the Albany Cluster. Kenneth Adams President & CEO, Commissioner Empire State Development

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Intel Technology Journal

Mask Technology Development in Extreme-Ultraviolet Lithography

ISMI Industry Productivity Driver

Optics for EUV Lithography

Newer process technology (since 1999) includes :

From ArF Immersion to EUV Lithography

Imaging for the next decade

GIGAPHOTON INTRODUCTION

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

2010 IRI Annual Meeting R&D in Transition

MAPPER: High throughput Maskless Lithography

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Property right statement: Copyright of charts, tables and sentences in this report belongs to

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

EUV lithography: today and tomorrow

Optical Microlithography XXVIII

Lithography in our Connected World

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

Growing the Semiconductor Industry in New York: Challenges and Opportunities

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Global Artificial Intelligence (AI) Semiconductor Market: Size, Trends & Forecasts ( ) August 2018

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

Demonstrating Commitment in the New Veldhoven Demo Lab

Update on 193nm immersion exposure tool

IBM Research - Zurich Research Laboratory

Metrology in the context of holistic Lithography

Advanced Packaging Solutions

Nanomanufacturing and Fabrication

Nikon Medium Term Management Plan

Progress in full field EUV lithography program at IMEC

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Market and technology trends in advanced packaging

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Beyond Immersion Patterning Enablers for the Next Decade

State-of-the-art device fabrication techniques

R&D Status and Key Technical and Implementation Challenges for EUV HVM

SUNY Poly in a New Era

It s Time for 300mm Prime

MICROCHIP MANUFACTURING by S. Wolf

Recent Trends in Semiconductor IC Device Manufacturing

Nokia Technologies in 2016 Technology to move us forward.

Triple i - The key to your success

420 Intro to VLSI Design

Commercializing Innovation:

The European Semiconductor industry: 2005 Competitiveness Report. DG Enterprise

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

High-NA EUV lithography enabling Moore s law in the next decade

Nanomanufacturing and Fabrication By Matthew Margolis

ACCELERATING THE FUTURE OF SEMICONDUCTORS

Driving profitable growth in Greater China. Andy Ho Chief Market Leader Greater China

THE WAFER FAB CLEANS IN SEMICONDUCTOR INDUSTRY FROM A MATERIALS SUPPLIER PERSPECTIVE

National Projects on Semiconductor in NEDO

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

21 st Annual Needham Growth Conference

Guidelines to Promote National Integrated Circuit Industry Development : Unofficial Translation

Collaboration: The Semiconductor Industry s Path to Survival and Growth

Limitations and Challenges to Meet Moore's Law

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

VENTURE-BACKED IPO EXIT ACTIVITY MORE THAN DOUBLES IN Q WITH STRONGEST QUARTER FOR BIOTECH OFFERINGS SINCE 2000

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Digital Swarming. Public Sector Practice Cisco Internet Business Solutions Group

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK

EUV lithography: status, future requirements and challenges

Transcription:

Public Introduction to ASML Ron Kool SVP Corporate Strategy and Marketing March-2015 Veldhoven

1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 2012 2013 2014 More than 200 billion ICs are made every year 250 200 150 100 50 IC units, in billions In 2014, 219 billion ICs were produced 30 for every man, woman and child on the planet. Global semiconductor industry sales were $305.6 billion. Public Slide 2 January 2015 0 Data: WSTS

ASML makes machines for making those chips Public Slide 3 January 2015 Lithography is the critical tool/process step for producing chips

From shed to world leader.. Slide 4 March 2015

Introducing ASML Slide 5 March 2015 Headquarter in Veldhoven Netherlands Original name ASM Lithography Founded in 1984 as JV between the Dutch companies Advanced Semiconductor Materials International (ASMI) and Philips. 1988 ASMI withdraws, 1994 Philips decides to pull out IPO in 1995 Amsterdam/NASDAQ Market capitalization ~40BEuro Business: Lithography for semi-conductors; main product: wafer stepper Customers: Intel, Samsung, tsmc, SK Hynix.. Over 70 sales and service offices worldwide

Driving the semiconductor industry: Moore s Law Gordon Moore (1965 April 19 th ): Number of transistors per chip doubles every year. Prediction later was elevated to law Later adjusted to two years, the trend has held for five decades. Slide 6 March 2015 Key to maintaining the law is making smaller transistors Transistor length has shrunk by a million The first integrated circuit on silicon, on a wafer the size of a fingernail (Fairchild Semiconductor, 1959) Today: More than a billion transistors on the same area (Intel, 2012)

Our success is based on providing superior products that enable Moore s law Company secret Our focused strategy enabled us to deliver superior products to the market resulting in a steady increase of our market share ASML Litho market share % 100 75 50 25 0 Stepper Scanner Twinscan Immersion EUV 85% 1984 86 88 90 92 94 96 98 2000 02 04 06 08 10 2013 Source: Gartner, S&P Capital IQ, ASML 7

Moore s law value creation Market Value: - The market value driven by Moore s Law amounted to $13 Trillion in 2014. - This is equal to three-quarters of the entire economy of the United States. Confidential Slide 8 10 March 2015 VLSI Research Inc 8

We expect Moore s law to continue due to end-user demand growth Company secret Further penetration of current applications through new features and cost down Smartphones, B units Tablets, B units Servers, M units 0.9 0.14 # cores/server will also grow 10 1.1 0.17 11 +15% p.a. 1.2 +10% p.a. 0.19 +4% p.a. 11 1.4 0.20 12 1.5 0.21 12 New applications are enabled by higher performance and lower cost Wearables, B units Connected devices, B units N/A 3.5 0.10 4.4 +28% p.a. 0.14 +25% p.a. 5.5 0.18 6.9 0.21 8.5 2013 14 15 16 2017 2013 14 15 16 2017 Source: Euromonitor, Gartner, Yankee group, Profound Market intelligence, Machina Research's M2M forecasts 9

ebay (4) Facebook (3) Tencent (3) Hitachi (4) Toshiba (3) Dell (3) in an ecosystem that has considerable financial means and strong incentives to drive innovation Top technology companies in our ecosystem (EBIT 2013, B$) ASML (2) AMAT (1) TEL (1) (7) ASML Peers Semi Other Company secret Semi equipment Semi manufacturers (13) (8) TI (3) Semi design (12) Ericsson (4) (5) Hardware manufacturers (8) (49) (35) EMC Software and services (27) Yahoo (1) (14) (14) (4) (7) (20) Total EBIT 2013 = ~250 B$ 10

Keeping up with Moore s Law Resolution: 1200 nanometers Overlay: 300 nanometers 40 wafers per hour (100mm wafers) Resolution: 19 nanometers after double patterning Overlay: As little as 1 nanometer Public Slide 11 January 2015 250 wafers per hour (300 mm wafers) PAS 2000 ASML s first stepper, 1984 TWINSCAN NXT:1970Ci The most advanced immersion scanner, 2013

The next step: EUV Large vacuum chamber Resolution: <10 nanometers after double patterning Public Slide 12 January 2015 New light source Mirror optics

Innovation at ASML Innovation in existing product markets Product/process innovation Eco-system innovation Business model innovation Incubation of new businesses External venturing Public Slide 13 January 2015

How to make an Integrated Circuit Slide 14 March 2015 deposition Lithography process diagnostics Track (put resist on) resist expose mask Etch Track (develop) Ion implant

Open Innovation from design to manufacturing Customers Public Slide 15 January 2015 Ecosystem Suppliers Academia Technology partners Advanced Research Center for Nanolithography

Product/process innovation Ship and iterate. Introduction of immersion technology The industry moved immersion from initial feasibility studies into production in less than four years. Early immersion versions of ASML TWINSCAN systems gave chipmakers the opportunity to test and develop immersion technology in preparation for volume-manufacturing. Slide 16 March 2015 October 2003: Redirection from 157nm to immersion (193nm based) August of 2004 ASML ships a 1 st version to Albany NanoTech at the University of Albany SUNY (State University of New York). At that time more than 16 chipmakers had already used the machine to run 10,000 test wafers. End 2004 - ASML ships the 2 nd version of its immersion tool, the TWINSCAN XT:1250i, to TSMC, IMEC and Applied Materials, Inc. In 2005, introduction of a 3 rd version - convertible scanner for dry or wet lithography, the TWINSCAN XT:1400. This tool gave customers the flexibility to include immersion in their technology road maps while protecting their investments in dry 193-nm technology. In 2006 ASML shipped the 4 th generation of its immersion exposure tool, the ASML TWINSCAN XT:1700i, included new lens technology (catadioptric), used in High Volume Manufacturing In 2006, ASML announces the XT:1900i, NA of 1.35.

Product/process innovation - virtual integration with suppliers Leveraging ASML s and suppliers individual competencies To optimize performance, flexibility, and risk exposure Through seamless, open, and trusted collaboration, Ultimately working as if it were one enterprise Confidential Slide 17 10 March 2015 Technology leadership Roadmap alignment and performance Serviceability, testability and manufacturability PGP Customer Intimacy Operational Excellence Cost, productivity and uptime Timely delivery

Open innovation in our operating model Suppliers Public Slide 18 10 March 2015 Components, Assy & Module assembly Module assembly Final assembly Customer Order & Delivery Assembly & Test ~ 600 Suppliers, of which 40 partners represent 80% of purchasing value ~ 2.000 buys (modules & parts) in one platform, where the number of parts in a platform is larger than 150.000 >85% of system costs from supply base

Making optimal use of open innovation: Deciding on what to in- and outsource Public Slide 19 10 March 2015 Manufacturing competence Unique Litho Non-unique N.A. OEM OEM Whitebox ASML ASML Contract Manufacturer Competencies unique for lithography should be at ASML Competencies non-unique for lithography should be outside ASML Non-unique Unique Litho Development competence

Business Models differ per technology and supplier profile Confidential 21 2 September 2013 Stages Supplier Location Business Model : ASML : Netherlands : Make Manufacturing of the WS shortstroke module is done internal @ ASML Veldhoven

Business Models differ per technology and supplier profile Publicl 22 2 September 2013 Power Electronics Supplier Location Business Model : Prodrive : Netherlands : OEM Prodrive both designs and manufactures power electronics cabinets

Business Models differ per technology and supplier profile Public 23 2 September 2013 Handler Supplier Location Business Model : VDL-ETG : Netherlands : TCO responsibility VDL-ETG manufactures wafer handler and has design and sustaining responsibility to secure TCO roadmap

Customer Co-invest program EUR 1.38 billion of research and development projects from 2013 through 2017 For the high investments needed, ASML created partnerships with their customers to support the development of new technologies. The Customer Co-Investment Program (CCIP) was initiated in July 2012 To accelerate the development of EUV technology And the development of 450mm technology Slide 24 March 2015 The Participating Customers collectively funded EUR 1.38 billion of research and development projects from 2013 through 2017. Risk sharing with largest customers while the results of ASML s development programs available to every semiconductor manufacturer with no restrictions. In addition, the participating customers have invested in ordinary shares equal, in aggregate, to 23 percent of ASML's issued share capital (with restricted voting and trading rights)

Connecting (manufacturing) to the design process of the customer Slide 25 March 2015

Incubation of new business: ASML holistic lithography Confidential Slide 26 30 January 2014 Scanner 1.Advanced lithography capability (Imaging, overlay and focus) Design context used to identify hotspot and correct them BRION Computational lithography 4- Process window detection Metrology and control SW