DATASHEET CADENCE QRC EXTRACTION

Similar documents
Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

ASIC Computer-Aided Design Flow ELEC 5250/6250

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

It s a matter of tradition. RAPID WHOLE - CHIP RF MODELING ñ Inductance-aware RFIC design

28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Variation-Aware Design for Nanometer Generation LSI

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li

Statistical Static Timing Analysis Technology

Newer process technology (since 1999) includes :

Introduction to Digital VLSI Design מבוא לתכנון VLSI ספרתי

Bridging the Gap between Dreams and Nano-Scale Reality

Layout and technology

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Manufacturing Characterization for DFM

EM Analysis of RFIC Inductors and Transformers. Dr.-Ing. Volker Mühlhaus Dr. Mühlhaus Consulting & Software GmbH, Witten

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

EDA Toolsets for RF Design & Modeling

Using GoldenGate to Verify and Improve Your Designs Using Real Signals

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

Figure 1. Inductance

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

When Should You Apply 3D Planar EM Simulation?

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

FinFET SPICE Modeling

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys

Lecture #2 Solving the Interconnect Problems in VLSI

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

EE 434 Lecture 2. Basic Concepts

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC

AWR. SIP Flow White Paper UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION

UNIT-III POWER ESTIMATION AND ANALYSIS

Evaluation of Package Properties for RF BJTs

Noise Constraint Driven Placement for Mixed Signal Designs. William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting

CMP for More Than Moore

+1 (479)

The Infinity Probe for On-Wafer Device Characterization and Modeling to 110 GHz

Reducing Transistor Variability For High Performance Low Power Chips

Front-To-Back MMIC Design Flow with ADS. Speed MMICs to market Save money and achieve high yield

High Temperature Mixed Signal Capabilities

Relationship Between Signal Integrity and EMC

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

FinFET-based Design for Robust Nanoscale SRAM

White Paper Stratix III Programmable Power

Changing the Approach to High Mask Costs

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Modeling Sub-90nm On-Chip Variation Using Monte Carlo Method for DFM

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

ECE 521. Design Flow. Fall 2016 Simulation. Design Verification. Why Solve Equations on a Computer?

Outline. Layout and technology. CMOS technology Design rules Analog layout Mismatch INF4420. Jørgen Andreas Michaelsen Spring / 80 2 / 80

Research in Support of the Die / Package Interface

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

XI μm Process Family: The XI10 series is X-Fab's 1.0-micron Modular Silicon-On-Insulator Technology DESCRIPTION

EE141- Spring 2004 Digital Integrated Circuits

STM RH-ASIC capability

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation. Variation. Process Corners.

Impact of etch factor on characteristic impedance, crosstalk and board density

Questa ADMS. Analog-Digital Mixed-Signal Simulator. Mixed-Signal Simulator for Modern Design. A Flexible Mixed-Signal Strategy

Experiences and Benefits of 16nm and 10nm FinFET Development

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Lecture 0: Introduction

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements

Design Quality Trade-Off Studies for 3-D ICs Built With Sub-Micron TSVs and Future Devices

16nm with 193nm Immersion Lithography and Double Exposure

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

Progress Towards Computer-Aided Design For Complex Photonic Integrated Circuits

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support

BiCMOS055 Technology Offer

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Signal Integrity Modeling and Simulation for IC/Package Co-Design

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

LSI Design Flow Development for Advanced Technology

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Signal integrity means clean

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Post-OPC verification using a full-chip Pattern-Based simulation verification method

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Process and Environmental Variation Impacts on ASIC Timing

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

FinFET vs. FD-SOI Key Advantages & Disadvantages

PERSPECTIVES FOR DISRUPTIVE 200MM/8-INCH GAN POWER DEVICE AND GAN-IC TECHNOLOGY DR. DENIS MARCON SR. BUSINESS DEVELOPMENT MANAGER

Lecture Notes 5 CMOS Image Sensor Device and Fabrication

EE 330 Lecture 7. Design Rules. IC Fabrication Technology Part 1

Flip-Chip for MM-Wave and Broadband Packaging

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion

Fundamentals of Integrated Circuit Design

Feature-level Compensation & Control

Transcription:

DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation and validation. Its seamless integration with Cadence Encounter and Virtuoso design environments ensures ease-of-use and enables rapid analysis to accelerate timing closure. Cadence QRC Etraction As advanced process geometries become more popular, parasitic etraction becomes a necessity not only during the validation phase but also during design implementation. Cadence QRC Etraction is an integrated etraction solution for design implementation and validation at 90nm and below. It includes a full spectrum of technologies for all nanometer-scale design styles including RF, analog, mied-signal, custom digital, and cell. These advanced capabilities include RLCK etraction, advanced process modeling, multi-corner and statistical etraction, distributed processing, netlist reduction, substrate parasitics etraction, an integrated field solver, an interface to model-based CMP and litho etraction, and more (Figure 1). Distributed processing RF Multi corner / Statistical Analog Miedsignal Model-based CMP and Litho Hierarchical etraction Field solver L and K etraction R and C etraction Custom Digital Reduction Substrate Cell Manufacturing effects Figure 1: Key components of Cadence QRC Etraction

Cadence QRC Etraction models physical effects found in advanced process technologies to ensure that etracted parasitics match those on silicon. By delivering higher-quality parasitics, it helps designers reduce overall design cycle times and significantly enhance quality of silicon in comple SoC designs. Integrated with Encounter digital design and Virtuoso custom design technologies, Cadence QRC Etraction is the most complete and efficient path to accurate parasitic etraction. Process File SoC Encounter System Place and Route Cadence QRC Etraction Incremental Etraction VoltageStorm IR Drop Analysis Cadence QRC Etraction is available in L, XL, and GXL configurations. Each of the offerings include both cell-level and transistor-level etraction capabilities. BENEFITS Reduces risk of re-spins with accurate, full-chip etraction including substrate parasitics Increases ROI with one-time accurate and consistent setup for ASIC, RF, custom digital, and high-speed analog/ mied-signal designs Shortens design cycles by integrating with the comprehensive Encounter and Virtuoso design and analysis environments Speeds convergence for timing closure via tight links with analysis technologies (Virtuoso UltraSim Full-Chip Simulator, VoltageStorm Power Verification, Encounter Timing System) Supports advanced process requirements such as optical and CMP effects, process variations, and comple metal/dielectric stack-ups Validated silicon models available from leading foundries and IDMs FEATURES Encounter Timing System (SI, STA, SSTA) Figure 2: Integration with Encounter place-and-route and analysis technologies Cell-level etraction QRC Etraction advanced cell-based 3D technology etracts full-chip designs quickly and has the capacity and accuracy for signoff etraction on the largest nanometer designs. Accurate coupling capacitance etraction is a must for crosstalk and power analysis of sub-90nm designs. Integrated with the Encounter design environment, QRC Etraction provides seamless solutions for timing, IR, and EM analysis, signal integrity analysis, and power verification. It can output distributed or lumped, and coupled or decoupled RC data. Integrated with Encounter technology (Figure 2), QRC Etraction allows designers to reduce design turnaround time dramatically by performing incremental etraction, and to reach timing closure faster by utilizing signoffaccurate etraction data for timing and noise optimization. Transistor-level etraction An integral part of the silicon analysis function inside the Virtuoso custom design environment, QRC Etraction supplies the critical parasitic information for optimizing chip performance and yield. Built on a foundation of patented algorithms and proprietary etraction technologies, QRC Etraction brings the physics of interconnect parasitics into the Virtuoso environment for designing, characterizing, and optimizing chip layouts. Seamless integration with Virtuoso technology enables designers to perform parasitic etraction, backannotation, cross-probing, re-simulation, and analysis within a single design environment for increased productivity. QRC Etraction provides silicon-accurate resistance (R) and capacitance (C) interconnect parasitic etraction for all process technologies for transistor-level designs including RF, analog, mied signal, custom, and memory. It provides highly accurate parasitics of both dense and sparse layouts for circuit simulation and analysis. As shown in Figure 3, QRC Etraction integrates with Cadence layout-vs.-schematic verification technologies (Cadence Assura LVS, Cadence Physical Verification System, Mentor Graphics Calibre) and simulation technologies (Virtuoso Spectre Circuit Simulator, Virtuoso Spectre RF Simulation Option, Virtuoso UltraSim Full-Chip Simulator). 2

Multi-corner etraction In designs at 130nm and below, a nominal corner etraction and some added margin is no longer sufficient to accurately predict parasitic effects. For eample, signal integrity issues can occur at strong, high-temperature conditions. Thus, designers are required to perform etraction at multiple corners, and the number of corners grows as the process geometry shrinks. QRC Etraction can etract multiple corners at once while significantly reducing overall runtime. GDSII DFII OA Cadence Physical Verification Cadence QRC Etraction Statistical etraction QRC Etraction offers statistical capability to efficiently reduce overall etraction runtimes while providing accurate results. It takes into account random variations of parameters like width, thickness, dielectric height, metal resistivity, dielectric constant, via resistance, and temperature. Statistical etraction can significantly reduce etraction runtimes, especially at advanced process nodes. Drawn Devices Substrate R and C DFII/OA RLCK DSPF SPEF Distributed processing QRC Etraction offers a distributed processing capability to efficiently etract multimillion-gate chips. It partitions the etraction task into multiple independent tasks that can be eecuted in parallel using multiple CPUs and/or machines. Distributed processing can significantly reduce etraction runtimes, especially during the final signoff stages. Figure 3: Complete transistor-level RLCK etraction Virtuoso Simulation and Analysis Advanced substrate modeling capability RF designers need a tool that not only etracts parasitic inductance accurately, but also evaluates the impact of substrate parasitics on their designs. Substrate noise coupling is a growing concern due to higher frequencies, higher integration, smaller feature sizes, and lower supply voltages. Figure 4 shows the effects of including the p-substrate and n-well as part of the substrate model on the etraction result. QRC Etraction includes substrate Rs and Cs for accurate simulation and analysis of RFIC circuits, and allows designers to perform what-if analysis for substrate noise distribution (Figure 5). p-substrate Figure 4: RF interconnect loss n-well w/o substrate n-well p-substrate VDD Vss 3

Advanced chemicalmechanical polishing (CMP) modeling support QRC Etraction interfaces to innovative Cadence chemical-mechanical polishing (CMP) technology for modeling full-chip thickness variation and accurately predicting systematic variations in interconnect layer thickness (Figure 6). QRC Etraction GXL uses the resulting thickness information to generate more precise estimates of parasitic capacitance and resistance and thus more accurate timing analysis and simulation results. By precisely predicting CMP effects with this new capability, designers targeting sub- 65nm processes can achieve more accurate timing analysis and simulation, while manufacturing teams can optimize yield. Lightly doped substrate with no guard ring Lightly doped substrate with guard ring connected to ideal power supply Figure 5: What-if analysis with noise contour map (Cadence QRC Etraction GXL) Global Wire Via Metal layers compound the topographical impact Wafer-level variation Heavily doped substrate with no guard ring Wafer surface Lithography-aware etraction support QRC Etraction interfaces to Cadence silicon-correlated electrical DFM analysis technologies. Cadence Litho Electrical Analyzer allows designers to optimize and control the impact of lithography, mask, etch, RET, and OPC effects on chip parameters. Its contour-based analysis technology provides an accurate, modelbased solution for designers to minimize the impact of manufacturing variations on design performance (Figure 7). It also uses fab-certified technology to predict contours across the process window and to predict device and interconnect silicon electrical behavior. Intermediate Local Oide loss Dishing Isolated Isolated thin-lines wide-lines Erosion Dense array thin-lines Figure 6: CMP interconnect variation Total copper loss Dense array wide-lines Within-chip variation Chip surface As drawn As fabricated Timing difference with and without litho effect What you design is NOT what you get! Figure 7: Litho-aware etraction 4

CONFIGURATIONS Cadence QRC Etraction is available in L, XL, and GXL configurations for both Encounter and Virtuoso design environments. SPECIFICATIONS Etraction modes Black-bo, gray-bo, or white-bo Lumped R only, C only, or RC for all nets Coupled C for all nets Self (L) and mutual (K) inductance etraction Fully-distributed RC and RLCK for all nets RLCK for selected nets and C for the rest, or vice versa Cadence QRC Etraction Features L XL GXL Cell-level and transistor-level etraction Multi-corner etraction in a single run for faster runtimes Common technology file for consistent results across transistor and gate levels Supports IR and EM analysis for transistor-level and gate-level designs Distributed processing support over multiple CPUs Capacity over 300K (cell) instances Hierarchical transistor-level etraction for increased capacity Incremental etraction within the SoC Encounter System for faster turnaround Integrated field solver support for enhanced accuracy Support RF analysis with RLCK etraction including substrate etraction Advanced support for L and K inductance etraction Advanced process support for 65nm and below Sensitivity analysis for substrate noise propagation in AMS designs Statistical- or variation-aware etraction support for random process variation Interface to model-based CMP etraction for increased accuracy Interface to litho-aware etraction for enhanced accuracy and reliability Advanced IR/EM support for powermos designs RLCK reduction to increase simulation speed and capacity Hierarchical transistor-level RC etraction Ability to eclude nets, such as power and ground nets Critical net and critical path etraction Manufacturing effects and advanced physical modeling 130nm and below copper, via, and wire-edge enlargement and optical effects Conformal, planar, multiple, and low-k dielectrics Non-planar processes Air gaps Trapezoidal conductors Contact capacitance Metal fill Local interconnect Si, SiGe, and SOI technologies Copper technology support (lithography effects, dishing and erosion support) Foundry support Cadence QRC Etraction process files: Certified and supported by leading merchant foundries Flow tested and qualified with foundry PDKs Development services are available Format support Design input formats: GDSII, LEF/DEF, DFII, OA Design output formats: Etracted View, DSPF, DSPF, SPICE, SPEF, SPEF PLATFORMS Sun Solaris (32-bit, 64-bit) Linu (32-bit, 64-bit) IBM AIX (32-bit) Email us at: icinfo@cadence.com Or visit: 2008 Cadence Design Systems, Inc. All rights reserved. Cadence, Assura, Encounter, Spectre, Virtuoso, and VoltageStorm are registered trademarks and the Cadence logo and SoC Encounter are trademarks of Cadence Design Systems, Inc. All others are properties of their respective holders. 20607/6960 08/08 MK/MVC/CS/PDF