Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Similar documents
EUV Supporting Moore s Law

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Status and challenges of EUV Lithography

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUVL getting ready for volume introduction

EUV lithography: status, future requirements and challenges

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Competitive in Mainstream Products

EUV lithography: today and tomorrow

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Imaging for the next decade

Enabling Semiconductor Innovation and Growth

Leadership Through Innovation Litho for the future

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Metrology in the context of holistic Lithography

Optics for EUV Lithography

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

High-NA EUV lithography enabling Moore s law in the next decade

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

NXE: 3300B qualified to support customer product development

Nikon Medium Term Management Plan

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Mask Technology Development in Extreme-Ultraviolet Lithography

Facing Moore s Law with Model-Driven R&D

Credit Suisse European Technology Conference 2008

CLSA Investors Forum 2017

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

MAPPER: High throughput Maskless Lithography

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

EUVL Exposure Tools for HVM: It s Under (and About) Control

ASML s customer magazine

Update on 193nm immersion exposure tool

21 st Annual Needham Growth Conference

Halliburton and Baker Hughes Creating the leading oilfield services company

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

It s Time for 300mm Prime

Textron Reports Third Quarter 2018 Results; Narrows Full-Year EPS and Cash Guidance

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Textron Reports First Quarter 2016 Income from Continuing Operations of $0.55 per Share, up 19.6%; Reaffirms 2016 Financial Outlook

Advancing Industry Productivity

Textron Reports Second Quarter 2014 Income from Continuing Operations of $0.51 per Share, up 27.5%; Revenues up 23.5%

A Closer Look at ASML. September 26-27, 2002

Confirms 2013 Financial Guidance

Lithography on the Edge

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

EUV is progressing towards production

Textron Reports Third Quarter 2014 Income from Continuing Operations of $0.57 per Share, up 62.9%; Revenues up 18.1%

(Complementary E-Beam Lithography)

Intel. Moving immersion into production. Intel and ASML. System flexibility through enhancement packages. Special Edition 2006

Advanced Patterning Techniques for 22nm HP and beyond

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

In the quarter, Textron returned $344 million to shareholders through share repurchases, compared to $186 million in the first quarter of 2017.

Lithography Industry Collaborations

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Acquisition of MST Medical Surgery Technologies Ltd:

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

The Waferstepper Challenge: Innovation and Reliability despite Complexity

Intel Technology Journal

DSA and 193 immersion lithography

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Second Quarter CY 2012 Results. August 2, 2012

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Operational Intelligence to deliver Smart Solutions

Network-1 Technologies, Inc.

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling

KOHLBERG CAPITAL CORPORATION. May 2007

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Nikon EUVL Development Progress Update

Newer process technology (since 1999) includes :

Demonstrating Commitment in the New Veldhoven Demo Lab

Optical Maskless Lithography - OML

Network-1 Technologies, Inc.

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Limitations and Challenges to Meet Moore's Law

Optical Maskless Lithography (OML) Project Status

First Quarter 2014 Results

Silicon Wafer Demand Forecast Update, 4Q03

Accenture plc (Exact name of registrant as specified in its charter)

Sidoti & Company Spring 2017 Convention

ACACIA RESEARCH GROUP LLC

Fourth Quarter 2013 Results. February 6, 2014

Operational Intelligence to Deliver Smart Solutions. Copyright 2015 OSIsoft, LLC

What s So Hard About Lithography?

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Second Quarter 2013 Results August 1, 2013

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM

EUVL: Challenges to Manufacturing Insertion

Transcription:

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young Vice President Investor Relations Taipei, Taiwan March 12, 2013

Forward looking statements Slide 2 Safe Harbor Statement under the US Private Securities Litigation Reform Act of 1995: the matters discussed in this document may include forward-looking statements, including statements made about our outlook, including expected research and development expenditures, expected shipments of tools and productivity of our tools, our business model, and lithography systems development, including the development of EUV and immersion technology and related system capacity. These forward looking statements are subject to risks and uncertainties including, but not limited to: economic conditions, product demand and semiconductor equipment industry capacity, worldwide demand and manufacturing capacity utilization for semiconductors (the principal product of our customer base), including the impact of general economic conditions on consumer confidence and demand for our customers products, competitive products and pricing, the impact of manufacturing efficiencies and capacity constraints, the continuing success of technology advances and the related pace of new product development and customer acceptance of new products, our ability to enforce patents and protect intellectual property rights, the risk of intellectual property litigation, availability of raw materials and critical manufacturing equipment, trade environment, changes in exchange rates, available cash, distributable reserves for dividend payments and share repurchases, risks associated with our co-investment program, including whether the 450mm and EUV research and development programs will be successful and ASML s ability to hire additional workers as part of the 450mm and EUV development programs, our ability to successfully complete acquisitions, including the Cymer transaction or the expected benefits of the Cymer transaction. The foregoing risk list of factors is not exhaustive. You should consider carefully the foregoing factors and the other risks and uncertainties that affect the business of ASML described in the risk factors included in ASML's Annual Report on Form 20-F and other documents filed by ASML from time to time with the SEC. ASML disclaims any obligation to update the forward-looking statements contained herein.

Slide 3 Business Environment

Business environment We currently plan for 2013 revenues to be at a similar level to 2012 driven by 28 and 20 nm logic Slow Q1 start Recovering in Q2 and a relatively large second half Expect continued minimum investment level from the memory sector, generating an upside revenue opportunity if the PC business picks up 2013 supported by two drivers that are less dependent on macroeconomic circumstances: Completion of 28nm capacity installations and Strategic technology transition to extremely lithography intensive 20nm and below logic nodes First shipments of 3 rd generation EUV tools in preparation for volume manufacturing of future generation semiconductors Slide 4 17 January 2013

Net Sales 6 year Sales Review - total net sales M 2013 expected at similar level to 2012 Slide 5 17 January 2013 6000 5,651 5000 4,508 1,211 4,732 4000 3000 2000 3,768 955 934 2,954 494 697 1,596 1,521 1,176 1,459 1,529 1,023 1,229 1,228 Q1 Q2 Q3 Q4 930 844 1000 949 919 0 581 1,069 555 1,452 1,252 277 742 183 2007 2008 2009 2010 2011 2012 Numbers have been rounded for readers convenience. 2013 Estimate

Slide 6 ASML Business Strategy

Business Model Focus on right products on time Our business model is derived from our lithography Value of Ownership concept which is based, amongst others, upon the following principles: Slide 7 Maintaining an appropriate level of R&D to offer the most advanced technologies possible in order to provide the lowest cost for high volume production at the earliest possible date enhancing/following Moore s Law Offering ongoing improvements in imaging, overlay and productivity Providing high quality customer support, enhancing installed base capabilities, improving system reliability and uptimes Reducing cycle times between customer order and equipment use in production Expanding operational flexibility in R&D and manufacturing

450mm EUV Immersion Affordable shrink roadmap 2012 2013 2014 2015 2016 2017 2018 2019 2020 Slide 8 NXT:1950i, NXT:1960Bi, NXT:1970Ci NXE:3300B, NXE:3350, QXT, QXE

Significant R&D required to support lithography tool development the shrink engine R&D: Slide 9 17 October 2012 R&D: ~50 mln R&D: ~400 mln R&D: ~1.5 bln R&D: ~2 bln? bln 1980 s: PAS 2000/5000 Resolution: <500 nm Overlay >100nm 1990s: PAS 5500 steppers/scanners Resolution: 400 to 90 nm overlay: > 12 nm 2000s: Twinscan Resolution: >1X nm overlay: > 2 nm 2010s: NXE EUV systems Resolution: <15 nm overlay: <2 nm 2015s: 450 mm systems Resolution: KrF, Immersion, EUV overlay: <2 nm

Focus on dual product strategy to match customer roadmaps Slide 10 TWINSCAN NXT - Immersion Continuous improvement in throughput, overlay and imaging (CDU) optimized for multi-pass patterning at 20nm and below Introduce 450mm capability TWINSCAN NXE - EUV Preparing EUV platform for volume manufacturing of critical layers with imaging to 10nm and beyond Introduce 450mm capability Over 420 ASML immersion systems in use today 6 ASML EUV system in use today

ASML Immersion Product Roadmap NXT:1950i provides performance extendibility until EUV adoption Slide 11 TWINSCAN NXT Extendibility Upgradeability 2011 Extensions 2012 Extensions 2013+ Matched Machine Overlay 5.5nm 4.5nm 3.5nm On Product Overlay 9nm 6nm 4nm * CDU 3nm 1.5nm 1nm Total focus control budget 110nm 90nm 70nm Throughput (96 shots) 190 WPH 230 WPH 250 WPH Defects (ASML test) 10 defects/wafer 10 defects/wafer <7 defects/wafer * OPO 5nm Matched, 4nm Dedicated Chuck

ASML s Holistic Lithography Solutions support multi-pass patterning at 20nm and beyond, will support EUV in future Slide 12 ASML Scanner Wafer Track ASML Yieldstar Process window enlargement Yieldstar metrology systems and Litho InSight software roadmap supports full integration to deliver improved On- Product Performance for 20 nm node and below Process window control application platform ( one per fab )

ASML Customer Co-Investment Program allows increased R&D investments for key programs Slide 13 ASML intends to spend 750 800 million in R&D in 2013 Co-Investment participants are expected to contribute 1.4 Billion in cash for R&D in 2013-2017 Co-Investment will contribute to: Acceleration of EUV development of machines and sources including next generation EUV systems Development of 450 mm litho tools targeting pre-production systems in 2015/16 and production systems in 2018 (300mm and 450mm compatible)

EUV progress encouraging Slide 14 Imaging on the production capable NXE:3300B, shows excellent single imaging results down to 13 nm Demonstrated fully integrated EUV source showing extended, stable exposure power up to 40 Watts, good dose control, full field exposures 55 Watts shown in short runs with good key parameter control Source design tested successfully at 60 Watts with good debris control 11 system ship plan for 2013

Eleven NXE:3300B systems in various states of integration in new clean room completed in 2012 Slide 15 System 1 Development tool System 9 System 2 New cleanroom System 6 System 3 System 4 System 7 System 5 System 8 Training 10 Tool

NXE technology roadmap has great extendibility first illumination optimization on NA 0.33 system Under study Slide 16 Resolution [nm] 32 27 22 16 13 10 7 <7 Wavelength [nm] Lens NA layo ut 0.25 0.33 0.33NA DPT 0.45-0.60 DPT 0.45 0.60 # mirrors 6 8 6 or 8 flare 8% 6% 4% 13.5 Illumination coherence s=0.5 s=0.8 s=0.2-0.9 Flex-OAI Extended Flex-OAI reduced pupil fill ratio Overlay DCO [nm] 7 4.0 3.0 MMO [nm] - 7.0 5.0 1.5 1.2 1.0 2.5 2.0 1.7 Dose [mj/cm 2 ] 5 10 15 TPT (300mm) Throughphut [w/hr] 6-60 Power [W] 3 10-105 80-250 15 250 20 250-50 - 125 125 125 20 500 165

NXE:3300B imaging and overlay beyond expectations Slide 17 Scanner qualification Filtered S2F Chuck 1 (S2F) Dedicated Chuck Overlay [nm] 8 22nm HP BE = 15.9 mj/cm2 DoF = 160 nm X Y Lot (1.3,1.3) 6 4 2 1.3 1.0 1.2 1.4 1.4 1.3 99.7% x: 1.3 nm y: 1.3 nm 5 nm 0 Full wafer CDU = 1.5nm 1 2 3 Day 13 nm HP 18 nm HP 23 nm HP Matched Machine Overlay NXE- immersion [nm] Filtered S2F (S2F) Scanner capability 8 X Y Lot (3.4,3.0) 6 4 3.5 2.7 3.0 2.3 3.2 3.3 2 5 nm 0 1 2 3 Wafer XT:1950i reference wafers ) 99.7% x: 3.4 nm y: 3.0 nm

Resolution shown on NXE:3300B for dense line spaces, regular and staggered contact holes; all single exposures Slide 18 14nm HP 14nm HP 18nm HP 19nm HP 13nm HP 13nm HP 17nm HP 18nm HP Dipole30, Chemically Amplified Resist (CAR) Dipole45, Inpria Resist Quasar 30 (CAR) Large Annular (CAR)

EUV Source Power Progress incl. throughput estimates for NXE:3300B EUV system Slide 19 1000 power EUV (W) Power from the source[w] 250 In die dose variation 100 TPT Estimated NXE3350 productivity NXE:3300B [Wafers/hr] @15 mj/cm² 30 40 80 58 125 81 126 10 5 10 33 2 1 At 40 W: Simulated die yield 99.99% at 0.2% dose, over 6 consecutive runs of > 1 hr representing > 300 exposed wafers @ 15 mj/cm 2

ASML - Cymer merger agreement status In Q4 2012, we announced the intended cash-and-stock acquisition of lithographic light source supplier Cymer As part of the regulatory review process, clearance has been granted by the Committee on Foreign Investment in the United States (CFIUS), the German and Israeli anti-trust authorities Merger agreement approved by Cymer s shareholders February 2013 Awaiting regulatory approvals from US, Japan, Taiwan and Korea We continue to expect the transaction to close in H1 2013 Slide 20 17 January 2013