Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Similar documents
R&D Status and Key Technical and Implementation Challenges for EUV HVM

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

EUVL getting ready for volume introduction

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Mask Technology Development in Extreme-Ultraviolet Lithography

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

EUV Lithography Transition from Research to Commercialization

2009 International Workshop on EUV Lithography

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Nikon EUVL Development Progress Update

Intel Technology Journal

Lithography Industry Collaborations

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

EUVL: Challenges to Manufacturing Insertion

Competitive in Mainstream Products

Progress in full field EUV lithography program at IMEC

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Key Photolithographic Outputs

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Scope and Limit of Lithography to the End of Moore s Law

Advanced Patterning Techniques for 22nm HP and beyond

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

EUV Light Source The Path to HVM Scalability in Practice

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

Imec pushes the limits of EUV lithography single exposure for future logic and memory

EUV lithography: today and tomorrow

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Update on 193nm immersion exposure tool

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Optics for EUV Lithography

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

The future of lithography and its impact on design

From Possible to Practical The Evolution of Nanoimprint for Patterned Media

Novel EUV Resist Development for Sub-14nm Half Pitch

Challenges of EUV masks and preliminary evaluation

From ArF Immersion to EUV Lithography

MAPPER: High throughput Maskless Lithography

DSA and 193 immersion lithography

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

Mask magnification at the 45-nm node and beyond

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

EUV lithography: status, future requirements and challenges

OPC Rectification of Random Space Patterns in 193nm Lithography

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Imaging for the next decade

EUV Substrate and Blank Inspection

SEMATECH Defect Printability Studies

Lithography. International SEMATECH: A Focus on the Photomask Industry

TECHNOLOGY ROADMAP 2005 EDITION LITHOGRAPHY FOR

EUV Supporting Moore s Law

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

What s So Hard About Lithography?

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Process Optimization

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

OPC Scatterbars or Assist Features

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Purpose: Explain the top advanced issues and concepts in

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Pellicle dimensions for high NA photomasks

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Evaluation of Technology Options by Lithography Simulation

ISMI Industry Productivity Driver

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

16nm with 193nm Immersion Lithography and Double Exposure

Optical Maskless Lithography - OML

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

Changing the Approach to High Mask Costs

EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

EUVL: Challenges to Manufacturing Insertion

Transcription:

Towards an affordable Cost of Ownership for EUVL Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006 1

Robert Bristol Heidi Cao Manish Chandhok Michael Leeson Jeff Macy Kevin Orvek Alan Stivers Co-Authors 2

Outline Technology Development Cycle Towards an affordable CoO CoO evolution during the development cycle Technology Implementation Example: 193nm Key CoO Issues facing EUVL Mask Yield/Cost Scanner Throughput Extensibility beyond 22nm node Summary & Future Work 3

Time Development Cycle and Commercialization Strategy Technical Market Business Concept / Concept Define market Need money Research Working model ID market barriers Protect IP Eng. Prototype α ID suppliers Write bus. plan (More R than D) Market analysis Tech transfer plan Development / Production prototype β More market analysis Need big money Prototype Limited production Engage suppliers CoO reduction Process improvement Market acceptance Remove barriers R&D Seek endorsements Tech transfer Production / Full production ramp Balance CoO with Need big, big money HVM (More D than R) supplier profitability Market Penetration / Extensions Continuous improvement We are Moving towards the Development/Prototype Phase for EUVL 4

Concept/Research Phase of EUVL Focused primarily on External Research activities Some internal Intel work on Alpha tool (MET) Sufficient Technical Progress is being made industry- wide on many key items that drive COO 22 20 18 16 14 12 10 8 6 4 2 0 Q3/02 Q1/03 Q3/03 Q1/04 Q3/04 Q1/05 Q3/05 Q1/06 Q3/06 Q1/07 Q3/07 Q1/08 Q3/08 Q1/09 Relative Order of Magnitude Improvement Required ROMI Value Source Power Critical Comp. Lifetime Collector Optics Lifetime Mask Blank Defectivity Mask Substrate Flatness Reticle Protection Projection Optics Lifetime Resist PO Box WFE Target 5 Courtesy of Dr. Stefan Wurm, SEMATECH EUV STRATEGY Group

Development/Prototype Phase of EUVL Main focus of Intel activity shifting to internal work Will start out with prototype / beta tools, initial resists and masks Process Latitudes Particle defects over mask lifetime (shipping and in-fab use) OPC (flare) requirements Tools, resists, mask components evolve over time during this phase Yields Pilot Line prototype 193nm Technology example covered in next slides 6

Outline Technology Development Cycle Towards an affordable CoO CoO evolution during the development cycle Technology Implementation Example: 193nm Key CoO Issues facing EUVL Mask Yield/Cost Scanner Throughput Extensibility beyond 22nm node Summary & Future Work 7

Technology Implementation Example: 193nm Lithography Introduced with 90nm technology node in 2003 Concentrated in-house work over 2 technology generations Supporting development over > 1 decade prior to Introduction Si Technology Lithography Development 90nm Generation 65nm Generation Scanner tool 1 Pilot Line tool 2 tool 3 Selection Initial Resist Optimization for Pilot Selection Reticles DR n-1 DR n Product OPC Models 248 nm HVM 193 nm pilot Model n-1 248 nm 193 nm HVM Model n COO starts high but is driven lower over time 8

Lithography COO for two 193nm Generations Pilot Line thru HVM Relative Litho Cost * Actual cost data are smoothed CoO is evolutionary it improves as the technology matures Technology matures as resources are added (suppliers and users) and tools are deployed 9

Towards a Usable Cost of Ownership COO models (internal and external) project that EUVL COO will be comparable to that of competitive optical technology (193nm immersion with double patterning). Affordability is a requirement for adoption Faster, Better, Cheaper must have at least 2 out of 3! DP will be the yardstick by which EUV progress and CoO is judged Key issues we see driving a usable Cost of Ownership for EUVL are: Mask Yield/Cost Mask Yield/Cost key issue is blank defects Scanner throughput Throughput dominated by source power, resist sensitivity and ML reflectivity (then stage speed) Sn source development and integration, PO optics protection / lifetime, and improved resists all need to show convincing results in the next couple of years 10

Mask Blank Defect Progress Blank defect data plotted Normalized to 60nm size Trend ~100X improvement in 4 years on best tools Need 100X in next 3 years Major defect sources identified Substrate defects are primary Pareto item Blank suppliers are investing in improved tools and processes. Need: Blank defect count <15 at 30nm size Blank Defect Count Scaled to 60nm Size 1E+7 1E+6 1E+5 1E+4 1E+3 1E+2 1E+1 1E+0 Data Envelope Jul-03 Jul-02 Approx. Goal Jul-04 Jul-05 FS LTEM Jul-06 Date 11

EUVL needs less complex OPC compared to 193nm SRAM cell, isolation layer SRAM cell, metal layer Printed on Intel s s MET. 110 nm pitch, no OPC, dose = 15 mj/cm2 12

Scanner Throughput 140 120 Wafers per Hour 100 80 60 40 20 10mJ/cm 2 +/- 2% refl 20mJ/cm 2 30mJ/cm 2 +/- 2% refl 0 0 50 100 150 200 250 Source Power(W) Model: Wafer Time (sec) = 288*Dose/Power + 20 Calibrated to 100wph @180W, 10mJ/cm 2 Also shown +-2% reflectance (modifies effective power as R^11) 13

Champion resist data: 30 nm resolution with reasonable sensitivity/lwr Intel MET tool 32 nm line/ 64 nm pitch LWR = 6.0 nm @19 mj/cm 2 30 nm line/ 120 nm pitch LWR = 5.3 nm @15 mj/cm 2 Industry Target for 22nm node Resolution : meet the Design Rule DOF: 0.2um LWR (3σ) : < 10% of min design cd; layer specific Photo Sensitivity: 10 mj/cm2 14

Resist effects on CoO LWR has been demonstrated to impact device performance SOURCE larger CDs of the line reduce Ion and smaller CDs increase Ioff L2 L1 L3 DRAIN L1 L2 L3 I ON 1/L, I OFF exp(1/l) 10 % Spec. for 3 σ LWR 15

Resist effects on CoO The effect of LWR is exasperated by shot noise at small CDs The basic effect: - Non-interacting particles arrive at detector at random ; a variance ~ sqrt(n) - Optics, source on/off, etc control envelop of where/when, but at a particular spot still have variance ~ sqrt(n) Shot-noise based LER models - basic approach: Define a pixel in the resist according to smallest length scale resist can sustain Count photons, acid, quenchers, etc in pixel at line edge. Overall variance becomes: σ tot 2 2 2 = σ photon + σ acid + σ other Resulting LER is proportional to this variance +... Novel materials are looking promising to optimize resolution, LWR, and sensitivity simultaneously Much development is needed, and it may be necessary to slow down a resist for a given layer 16

Effects of Resist Sensitivity on Overall COO What if we need to back off on sensitivity to achieve required LWR? Assumes 5 EUV layers out of a total of 30 layers 17

Resist Research continuing to hit targets CAR: Chemically Amplified Resists PAGs: 1: EHS Friendly PAGs- Non PFOS (PFAS) 2: Polymer-bond PAGs- Anion and Cation 3: Acid efficiency PAGs- High Quantum Efficiency Resin: 1: Molecular Glass Resins- non-polymer type; low Mw resist materials 2: PHS based Resins- High/Low Eact protecting groups 3: Meth(acrylate) Resins- modified 193nm resin 4: Novolac Resins 5: Chain scission Resins- Acid breakable Resins Non-CAR; Novel developers 1. PMMA- Modified?? 2. Inorganic resists - Photosensitive thin, dense, pore free films 3. SCCO2 - Reduced line collapse for high aspect ratios features, plus LWR reduction 4. Out of boxes?? 18

Novel resist chemistry Intel MET tool 50 nm 1:1 40nm 1:1 32 nm 1:1 4 nm LWR 5.6 nm LWR 5.8 nm LWR Dose needs improvement (this is 36 mj/cm 2 ) but a good starting point for optimization 19

Outline Technology Development Cycle Towards an affordable CoO CoO evolution during the development cycle Technology Implementation Example: 193nm Key CoO Issues facing EUVL Mask Yield/Cost Scanner Throughput Extensibility beyond 22nm node Summary & Future Work 20

Proposed NA scaling roadmap Theoretical Min. pitch = λ/na NA Min. pitch % Improvement 0.25 54 0.35 39 29 0.50 27 30 Aerial Image Log Slope (ILS) at best focus NA = 0.5 + Pitch NA = 0.25 NA = 0.35 5% obsc 80 125 60 101 125 40 74 106 30 20 101 147 ILS = I Criteria Theoretical resolution scaling by 30% with increment in NA results in essentially 3 NAs 0.25, 0.35, and 0.5 Simulated aerial Image Log Slope at best focus > 125 (with 5% flare+oob, no aberrations), and ILS > 40 at defocus 1 Thresh di dx I Thresh ILS 110, good 85<ILS<110, OK ILS < 85, bad 21

opt Optics Etendue and Throughput Increase by NA scaling E = w h π σ NA 2 2 T ratio mβ R Eβ mα R Eα = NA Width (mm) Height (mm) σ E opt (mm 2 - sr) NA Etendue (mm 2 - sr) Bounces (m) T ratio 0.25 2.0 26.0 0.5 2.55 0.35 1.5 22.0 0.6 4.57 0.25 2.55 6 1.0x 0.35 4.57 6 1.8x 0.50 1.0 20.0 0.6 5.65 Relative Étendue 0.50 5.65 8 1.1x Relative Transmission E source < E opt for maximum efficiency Higher NA results in larger etendue Increase in etendue offsets the losses in reflection from additional bounces 22

Summary EUVL has transitioned from research into development This is where CoO reduction efforts ramp up CoO needs to be similar to or better than alternatives for the technology to be adopted CoO traditionally starts high and comes down as the technology matures we need to identify a path to a sustainable CoO Slowing down the throughput on a layer or two while working on new resist platforms, while undesirable, is probably tolerable EUVL technology is improving, but there is a lot of work ahead 23

Future Work Transition to HVM requires infrastructure readiness prior to pilot phase In the next 3 years we must have: A reliable, low-debris source with a path to HVM TPT A capable, but not necessarily optimized resist Blank defect count <15 at 30nm size or greater A proven pellicle-less mask strategy A proven overlay strategy Proven optics durability Encouraging Si yield CoO that is understood and acceptable 24