Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Similar documents
Key Photolithographic Outputs

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Reducing Proximity Effects in Optical Lithography

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Using the Normalized Image Log-Slope, part 2

16nm with 193nm Immersion Lithography and Double Exposure

OPC Rectification of Random Space Patterns in 193nm Lithography

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Experimental measurement of photoresist modulation curves

optical and photoresist effects

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Defect printability of thin absorber mask in EUV lithography with refined LER resist

More on the Mask Error Enhancement Factor

EUVL getting ready for volume introduction

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Synthesis of projection lithography for low k1 via interferometry

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Nikon EUVL Development Progress Update

Update on 193nm immersion exposure tool

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Modeling of EUV photoresists with a resist point spread function

EUV Light Source The Path to HVM Scalability in Practice

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Lecture 5. Optical Lithography

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

DSA and 193 immersion lithography

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Optolith 2D Lithography Simulator

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Comparison of actinic and non-actinic inspection of programmed defect masks

Flare compensation in EUV lithography

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Progress in full field EUV lithography program at IMEC

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Design Rules for Silicon Photonics Prototyping

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

EUVL: Challenges to Manufacturing Insertion

Mirror-based pattern generation for maskless lithography

Progresses in NIL Template Fabrication Naoya Hayashi

Lithographic Process Evaluation by CD-SEM

Optical Proximity Effects, part 2

High-NA EUV lithography enabling Moore s law in the next decade

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Introduction of ADVANTEST EB Lithography System

Depth of Focus, part 2

Challenges of EUV masks and preliminary evaluation

EUV Resists: Pushing to the Extreme

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

Process Optimization

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Optical Proximity Effects

EUV Interference Lithography in NewSUBARU

Purpose: Explain the top advanced issues and concepts in

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Sub-50 nm period patterns with EUV interference lithography

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

2009 International Workshop on EUV Lithography

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Advanced Patterning Techniques for 22nm HP and beyond

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Improving registration metrology by correlation methods based on alias-free image simulation

Optical Proximity Effects, part 3

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

SEMATECH Defect Printability Studies

EUV Lithography Transition from Research to Commercialization

EUVL Activities in China

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

R&D Status and Key Technical and Implementation Challenges for EUV HVM

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography

Transcription:

Shot noise and process window study for printing small contacts using EUVL Sang Hun Lee John Bjorkohlm Robert Bristol

Abstract There are two issues in printing small contacts with EUV lithography (EUVL). One is the shot noise issue and the other is the narrow process window. The purpose of this study is to present a simple analysis of the importance of shot noise for printing small contacts with EUV lithography. This study also quantitatively addresses the process windows for various size contacts to determine whether EUVL is adequate for contact layers. We conclude that neither shot-noise nor the narrow process window are likely to be show-stoppers at the 50 nm node generation for EUVL. However, shot-noise may be a significant limiting factor for system throughput and CD uniformity for contact sizes below 50 nm. 2

Motivation of shot noise study Motivated by the recent paper by O Brien and Mason*. Shot noise effects in printing lines and LER are not considered here. Assumption: a given contact will be successfully printed only if the dose delivered to it lies within Exposure Latitude (EL). Quantity used to carry out the analysis is the probability that a contact will receive a given dose [photons in a bucket]. Sources of randomness have been ignored to simplify the study. - Spatial distribution of photons in a contact - Dynamics of resist - Resist absorption length All of these can exacerbate the shot noise effect. The analysis is for the best case scenario. Poisson s distribution is assumed for the combined statistical fluctuations of the absorption process (light and material interaction probability) plus incident light fluctuation likely has smaller variance than more realistic distribution * S. O Brien and M. mason, Proc. SPIE, 4346, pg 534 (200) 3

Failure rate of a large number of contacts f 0.00 0.000 E-05 E-06 E-07 E-08 E-09 E-0 E- E-2 Z=0 2 Z=number of contact 3 4 5 6 7 8 n Z=0 0 f, defined as + erf(n/sqrt(2)), is the maximum allowable failure rate per contact to produce a yield of 98% for the printing of Z contacts. f is a function of the dose fluctuation, ± nσ, where σ is the standard deviation. Note that for ~0 9 contacts, n must be 6-7. 4

Relating Photon Fluctuation to Exposure Latitude Let N 0 be the mean number of photons absorbed by the resist in the area of the contact and ε be the quantum efficiency ( ) in resist activations, then using the Poisson distribution, the probability that N photons participate in resist activation is given by: N ( εn 0 ) εn P( N ) = { } e N! Let ε N 0 ± N be the minimum and maximum number of photons to print the contact. Then the number of photons required to prevent shot noise-induced failure, N 0, can be related to σ and the exposure latitude, EL=2 N/ε N 0. 2n 2 0 σ = εn 0 N0 ( ), where n >> ε EL For 0% EL and n=6, en 0 > 4400. 5

Dose to print contact We can relate the N 0 to the resist sensitivity or Dose. The incident dose, D inc or dose-to-print, is denoted by Dose to print (mj/cm2) 60 40 20 00 80 60 40 20 0 D inc 4N 0 hω 2 α π S Dose to print contact 5% EL * a=0.5, e=, n=6 0% EL.88 = α ε 0 20 40 60 80 00 20 40 60 Contact size (nm) 2n EL S 2 mj / cm 2 Dabs Dinc, S= contact size α Dose-to-clear required for 50 nm contact is 7.5mJ/cm 2 for 5% EL and.8mj/cm 2 for 0% EL. Dose-to-clear required for 30 nm contact is 5.mJ/cm 2 for 5% EL and 3.8mJ/cm 2 for 0% EL. Thus, shot noise may impact tool throughput for contacts below 50nm. 6

Shot noise experiment in the ETS For n = 3.5 (98% yield for Z=00), f=~0.02%, EL = 7.5%, and e =, we get N 0 4400 to print an 80 nm contact in the ETS. For POB 2 in the ETS, we are required to have a resist with following sensitivity to avoid the shot noise in printing contacts. D inc 8mJ / cm 2 : Dose-to-print D inc 0.43mJ / cm 2 : Dose-to-clear From the analysis, resist with the sensitivity less than 0.43mJ/cm 2 is required to see the shot noise effect in printing contacts for 98% yield. Currently, we have ~2.5mJ/cm 2 (EUV 2D) and 5mJ/cm 2 (2A) dose-to-clear resists. Exposure dose 80nm Contacts, 60nm period, NA=0., Sigma= EL = 7.5% DoF = mm 5.05 5 5 5-00 -600-00 400 88 nm (+0%) 72 nm (-0%) Verifying shot-noise experimentally with the existing resources (ETS and 0X system) would require interrogating a large number of contacts (> 0,000) and obtaining good enough statistics to rule out other fluctuations. 7

Summary for shot noise study Dose-to to-clear for current baseline resist is ~2.5mJ/cm 2 at 00 nm thickness, above the shot-noise level of.8mj/cm 2 for ± 0% EL of 50 nm contacts. Thus, the current resist sensitivity should be OK for printing contacts down to 50 nm without shot noise effects. Experimental verification of shot noise effects in printing contacts may be difficult with current exposure tools (ETS or 0X system at SNL), however, shot noise effects may be observed with a large NA tool, like MET. Shot-noise may be minimized by using less sensitive resist (dose-to to-clear > 4mJ/cm 2 ) for contacts smaller than 50nm. Further improvement of resist sensitivity may be limited. Other approaches may also help (ex: negative tone resists). 8

Process Window Simulation Study for Lines and Contacts Shot noise and narrow process windows could result in low yield for small contacts in advanced lithography technologies. We concluded that shot-noise significantly limits printing large arrays of small contacts, but the resulting problems can be accommodated and are not show-stoppers. stoppers. Generally, printing contacts are more difficult than printing line features due to the nature of two- dimensional structures of contacts. Simulated process windows for various features quantitatively determine whether EUVL is adequate for contact layers are presented. Depth of focus (DoF) is extracted from ED curves and used as a comparison metric. 9

Introduction Intel s aerial image simulation tool (I-Photo) is used to generate aerial images and ED curves. Thin mask is assumed. No rigorous mask model is used (future plan). No mask biasing (to get the iso focal point) is used. The aerial image threshold model for a positive tone resist is used. The process window is defined by 0% CD variation criteria with 0% EL and partial coherence factor of (s = ). Intensity vs. Distance Intensity VS Distance Intensity vs. Distance Intensity VS Distance Aerial image of contacts 2 4 Aerial image of line Intensity 0.230-0% CD +0% CD Intensity 0.56 0.38 +0% CD -0% CD 0.20 0.000 0.032 Distance (um) Resist Wafer Resist 0.000 0.028 0.056 0.084 Distance (um) Resist Wafer 0

Modeling parameters Numerical Aperture (NA) 0.25 Number of mirrors (n) 6 Wavefront error (WFE) 0.5 nm rms (RSS of 6 mirrors, average figure error of 0.2 nm rms) covering up to 2.5l/NA range (5 times the resolution limit) Intrinsic flare 0 % (RSS of 6 mirrors, average MSFE of 0.4 nm rms) Absorber transmission Resist 5% of ML reflectivity (70 nm Cr and 20nm Oxide layers) Threshold model for a positive tone resist The depth of focus (DoF) has been calculated by drawing the best-fitted rectangular box in the process window. Each rectangular box drawn in the process window meets the following requirements: CD is controlled to 0% Exposure latitude (EL) is at least 5%. NILS of aerial image is at least.6.

ED curves for 30 nm features Exposure dose Exposure dose DoF = 80 nm 30 nm Iso line.6.5.4.3-300 -00 00 300 30 nm Iso contact hole DoF = 205 nm.6.5.4.3 27 nm (+0%) 33 nm (-0%) 33nm (+0%) 27nm (-0%) -300-00 00 300 Exposure Dose Exposure dose (a.u.) 30 nm dense lines and spaces DoF = 300 nm.6.5.4.3-300 -200-00 0 00 200 300 30 nm dense contact holes 33 nm (+0%) 27 nm (-0%) DoF = 220 nm.6.5.4.3 27 nm (+0%) 33 nm (-0%) -300-00 00 300 2

ED curves for 50 nm features Exposure Dose (a.u.) Exposure dose DoF = 40 nm 50 nm Iso lines.6.5.4.3-300 -00 00 300 DoF = 370 nm 50 nm Iso contact holes.6.5.4.3-300 -00 00 300 45nm (+0%) 55nm (-0%) 55 nm (+0%) 45 nm (-0%) Exposure dose Exposure dose 50 nm dense lines and spaces.6.5 DoF = 360 nm.4.3-300 -00 00 300 50 nm dense contact holes 55 nm (+0%) 45 nm (-0%).6.5.4.3 45 nm (+0%) 55 nm (-0%) DoF = 380 nm -300-00 00 300 3

DoF comparisons for various features 500 Depth of Focus for various features DoF (nm) 400 300 200 00 0 Isolated contacts Dense contacts Isolated lines Dense lines 20 30 40 50 60 Feature sizes (nm) DoF for isolated 50 nm contacts is at least twice as large as DoF for isolated 30 nm lines. 4

Summary for Process window study It is found that the DoF of isolated 30 nm contact holes (200nm) is comparable to the DoF for the isolated 30 nm lines(80nm), thus printing isolated contact features using EUVL is no more difficult than printing the same size lines. EUVL systems use low NA projection optics. Dense 30 nm contacts (220nm) have a similar DoF as isolated 30 nm contacts and lines (~200nm). The DoF for 50 nm dense contacts exceeds that for 30 nm dense lines by 20%. The resist for EUVL will likely increase the focus window by perhaps ~30% based on current experience with ArF/KrF lithographic tools. For both isolated and dense features, printing of contacts using EUVL is no more difficult than printing lines for the same lithographic generation. 5