New Process Technologies Will silicon CMOS carry us to the end of the Roadmap?

Similar documents
NANOELECTRONIC TECHNOLOGY: CHALLENGES IN THE 21st CENTURY

Newer process technology (since 1999) includes :

Enabling Breakthroughs In Technology

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Alternatives to standard MOSFETs. What problems are we really trying to solve?

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

Thermal Management in the 3D-SiP World of the Future

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

MICROPROCESSOR TECHNOLOGY

Chapter 15 Summary and Future Trends

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Opportunities and Challenges for Nanoelectronic Devices and Processes

Lecture 0: Introduction

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

6.012 Microelectronic Devices and Circuits

ATV 2011: Computer Engineering

Innovation to Advance Moore s Law Requires Core Technology Revolution

EECS130 Integrated Circuit Devices

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

EMT 251 Introduction to IC Design

State-of-the-art device fabrication techniques

Lecture Notes 5 CMOS Image Sensor Device and Fabrication

FinFET vs. FD-SOI Key Advantages & Disadvantages

Chapter 3 Basics Semiconductor Devices and Processing

+1 (479)

EECS130 Integrated Circuit Devices

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

Lecture 1 Introduction to Solid State Electronics

Integrated Circuit Technology (Course Code: EE662) Lecture 1: Introduction

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

6.012 Microelectronic Devices and Circuits

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Introduction to Electronic Devices

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS

Selected Topics in Nanoelectronics. Danny Porath 2002

Advanced PDK and Technologies accessible through ASCENT

Scaling of Semiconductor Integrated Circuits and EUV Lithography

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

Sustaining the Si Revolution: From 3D Transistors to 3D Integration

HOW TO CONTINUE COST SCALING. Hans Lebon

White Paper Stratix III Programmable Power

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Intel s High-k/Metal Gate Announcement. November 4th, 2003

Practical Information

Semiconductor Devices

420 Intro to VLSI Design

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

Nanoelectronics and the Future of Microelectronics

Power FINFET, a Novel Superjunction Power MOSFET

Energy beam processing and the drive for ultra precision manufacturing

Nanotechnology, the infrastructure, and IBM s research projects

Gallium nitride (GaN)

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

IH2655 Design and Characterisation of Nano- and Microdevices. Lecture 1 Introduction and technology roadmap

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

VLSI Design. Introduction

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Lecture #29. Moore s Law

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Pushing Ultra-Low-Power Digital Circuits

32nm Technology and Beyond

Design of low threshold Full Adder cell using CNTFET

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

The future of lithography and its impact on design

Feature-level Compensation & Control

A Brief Introduction to Single Electron Transistors. December 18, 2011

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

ISSCC 2003 / SESSION 1 / PLENARY / 1.1

Drain. Drain. [Intel: bulk-si MOSFETs]

CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure. John Zacharkow

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect

Introduction to VLSI ASIC Design and Technology

Institute for the Theory of Advance Materials in Information Technology. Jim Chelikowsky University of Texas

Research Needs for Device Sciences Modeling and Simulation (May 6, 2005)

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

The Transistor. Survey: What is Moore s Law? Survey: What is Moore s Law? Technology Unit Overview. Technology Generations

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

FinFET Devices and Technologies

Fabricating 2.5D, 3D, 5.5D Devices

From Sand to Silicon Making of a Chip Illustrations May 2009

Basic Functional Analysis. Sample Report Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel:

CHAPTER 6 CARBON NANOTUBE AND ITS RF APPLICATION

Introduction to Materials Engineering: Materials Driving the Electronics Revolution Robert Hull, MSE

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Transcription:

HPEC Workshop 2006 New Process Technologies Will silicon CMOS carry us to the end of the Roadmap? Craig L. Keast, Chenson Chen, Mike Fritze, Jakub Kedzierski, Dave Shaver HPEC 2006-1

Outline A brief history of CMOS scaling Drivers behind Moore s Law and their future outlook The potential of Next Generation technologies beyond silicon CMOS Some comments on 3D circuit integration Summary HPEC 2006-2

A Few Metrics Vacuum tube (early 1900 s) transistor (1949) integrated circuit- IC, chip (1959) During the first 10 years of the chip s development the US government bought the majority of all ICs produced Today the US Government purchases are a few percent of the market Today s microprocessors contain >500 million transistors and occupy ~2-3 cm 2 area Equivalent number of vacuum tubes would cover an area equal to ~250 football fields First ICs cost ~$120 and contained 10 transistors ($12/transistor), today s microprocessors cost ~$500 and contain 500,000,000 transistors ($0.000001/transistor) If this cost scaling was applied to the automobile industry a $100,000 Porsche 911(turbo) would now cost < 1 cent HPEC 2006-3

Silicon The Material Enabling the IC (Semiconductor Wafer Preparation) Silicon makes up 25.7% of the earth s crust Sand Single-Crystal Ingot Wafer Saw 300 mm HPEC 2006-4 Silicon s Oxide (SiO 2 ) is a KEY attribute of this material s success

35 Years of CMOS Scaling and Process Improvements Technology Node 10 μm 1 μm 100 nm 10 nm 1 nm HPEC 2006-5 Self-Aligned Gates Self-Aligned Silicides CMP Tungsten Plugs Halo Implants Copper Interconnect Low-k Dielectric Strained Silicon CMOS Replaces Bipolar High-k Dielectric??? For High Performance Computing CMOS Starts to Replace III-V for Some RF Applications Bulk Silicon SOI???? 1970 1980 1990 2000 2010 2020 Year Presumed Limit to Scaling IC cross section Backend Frontend

HPEC 2006-6 Drivers Behind Moore s Law Smaller feature sizes Pack more features in given silicon area Lower cost per function Smaller transistors are faster Smaller transistors and wires consume less energy Bigger chips More functions on one chip reduces packaging and integration costs, reduces power, improves reliability Bigger wafer sizes More chips per wafer; wafer processing cost for bigger wafers rises more slowly than number of transistors/wafer Manufacturing know-how Faster machines, higher yields, better tool utilization More clever device, circuit, and process design Pack more in a given area, even for a given feature size Equivalent scaling : next generation performance through improved process/materials: SiGe, SOI, strained silicon

Shrinking Feature Size. Human Hair ~75 μm.. HPEC 2006-7 0.18 μm 180 nm feature ~40,000 (65-nm node) transistors could fit on cross-section

Lithographic Tools ~10 4x reduction HPEC 2006-8 Current State of the art (>$25 M) 65 nm resolution λ = 193 nm 0.93 NA (n sinθ) > 10 13 pixels/wafer ~120 300-mm wafers/hour Wafer & mask move 100 s of mm/s during exposure W k 1 λ n sinθ

Optical Lithographic Resolution Rayleigh criterion for resolution W λ / n W = k 1 sinθ 30x improvement in resolution over 25 years λ from 436 nm to 193 nm sin θ from 0.35 to 0.93 k 1 from 0.6 to 0.35 n from 1 to 1 Now approaching limits λ limited by materials and sources sin θ < 1 k 1 > 0.25 n??? HPEC 2006-9 Slide Courtesy M. Switkes, MIT-LL

Liquid Immersion Interference 27-nm Half Pitch High-index fluids have been designed and synthesized (n 157 = 1.50) Enable coupling of light from prism to wafer No need for solid contact liquid gap of 2 μm is used Substrate Spacer Immersion fluid Prism sin θ = 0.87 Si mirror 157 nm light CaF 2 HPEC 2006-10 Slide Courtesy M. Rothschild, MIT-LL

Optical Lithography at the Nanometer Level 10 nm 9 nm 9 nm 100 nm 100 nm 10 nm gold particle attached to Z-DNA antibody. (John Jackson & Inman. Gene [1989] 84, 221-226) 9-nm polysilicon gate on ultra-thin SOI fabricated at MIT-LL using 248- nm PSM optical lithography (2001) HPEC 2006-11

It is likely that we can pattern the smaller feature sizes needed to maintain CMOS scaling. But will the devices work? HPEC 2006-12

Prognosis For Moore s Law Benefits Historically, CMOS scaling has resulted in simultaneous improvements in cost per function, circuit (and system) speed, power consumption, and packing density Will continued scaling give us the same benefits? Higher Speed? Lower Cost? Lower Power? HPEC 2006-13

Lower Cost Prognosis For Moore s Law Benefits Past Scaling (s) increases components per unit area as s 2 Wafer size increase gives more chips per wafer Increasing cost of equipment outweighed by huge increase in number of transistors made per wafer Future Issues Skyrocketing equipment costs Today s state-of-the-art production facilities cost ~4 billion dollars NRE (e.g. >$1M mask sets) and productivity issues favor large volume production of generic components Increasing consolidation/pooling of fabrication resources and use of Taiwanese Super Fabs TSMC and UMC (China and India next?) How to get DoD-unique and secure components? HPEC 2006-14 Mask Set Cost (x $1000) 1600 1400 1200 1000 800 600 400 200 0 Mask Set Cost 250 180 130 90 Technology Node (nm)

Lower Power Prognosis For Moore s Law Benefits Past Supply voltage (V) scales as 1/s Capacitance (C) scales as 1/s Energy per op scales as CV2 1/ s3 Voltage scaling from 5V to 1V accounted for 25X reduction in power, just by itself Passive and Active Power vs Gate Length Stove top Future Issues Power supply voltage only projected to drop 2X over next 15 years (1.0 to 0.5 V) Subthreshold device operation? Scaling energy per op is critical to long endurance battery powered systems and to supercomputers (getting power in and heat out) (~1985) E. J. Nowak, IBM J. Res. & Dev., Vol. 46, No. 2/3, p. 173 HPEC 2006-15

Processor Speed (INTEL) * 4 GHz Higher Speed Moore s Law in Trouble Gate Oxide Dielectric * Gate Channel Research Production CPU speed has stalled for the first time in 35 years, with no processor able to break through the 4-Ghz barrier Why?...Gate oxide scaling has stopped at Tox~1.2nm in 2003, at the 90-nm technology node (~3-4 monolayers) Only heroic integration efforts, such as use of strained-si, have made small dents in the CPU speed barrier Need a workable High-k gate dielectric in order for performance scaling to continue HPEC 2006-16 *D. A. Muller, Nature Materials V 4, pg. 645 (2005)

Future High Performance Device frontend Possibilities Continue with Si CMOS. Some possible alternative silicon futures are: CPU speed could be maxed out future improvements will come from reduced cost and higher density and integration High-k could save the day if not tomorrow, maybe in 10 years A perfect high-k gate dielectric will enable CPU speeds to increase until the next tunneling limit (source-todrain) at the 10nm-node Changes in device architecture could take the pressure off the gate oxide, and CPU speed will continue to advance at a slower rate FDSOI and FinFET lets T si scale instead of T ox No high-k With high-k Intel - components research (IEDM2003) HPEC 2006-17

Future Possibilities (Cont d) A future with transistors, but without silicon: Germanium-based devices Improved mobility, at the expense of many other semiconductor properties Carbon-based devices. Several flavors: Carbon nanotubes: Have better device properties than Si, but are very difficult to integrate (thus far) Graphite devices: Difficult to turn off Molecular devices: Have not been demonstrated to work better than Si HPEC 2006-18

Future Possibilities (Cont d) A future without transistors: Josephson-junction-based logic Demonstrated and works, but at 4K Real speed and power advantages unclear Quantum Computation Can t execute traditional code, even theoretically But can solve Schrödinger's equation blazingly fast, and factor very large numbers Cross Point Arrays nanowire, molecular Too simple for general purpose logic, if complexity is increased to meet logic constraints the result is a transistor MEMS, protein, spin logic too early to evaluate HPEC 2006-19

Potential Technology Roadmap Estimated Performance Silicon devices Research Required Germanium devices Alternate Si Structures FDSOI FinFET Perfect high-k Carbon-nanotube devices Molecular devices Graphite devices Spintronics no evaluation possible, insufficient experimental data Possible global directions for high performance logic technology in the next 20 years considered in this study, and graphical summary of their evaluations when possible HPEC 2006-20

Future Technology Highlights: Carbon Nanotubes (CNTs) 10-5 S V DS = -0.1,-0.2,-0.3 V L ~ 50 nm -I DS (A) 10-6 10-7 D 10-8 SWNT -IDS (A) 10-6 10-8 L~30 nm V DS =-0.3 V S 100 nm 1 nm (Drawing and AFM from CEA website) 10-9 -1 0 V G (V) -1.5-1.0-0.5 0.0 0.5 V G (V) Example of experimental CNT device from Stanford Features: metal gate, high-k dielectric, metal source/drain High performance: 10x Si device of same geometry Putting tubes were they are needed is a problem HPEC 2006-21 REF: A. Javey, et al. Nano Lett, 2004.

Future Technology Highlights Thin Graphite - Graphene REF: K.S Novoselov et al., Science, V. 306, 22 October 2004, p. 666 Few monolayer graphite device SEM and electrical characteristics at T=70K Graphite has high mobility of >10,000 cm 2 /Vs (~15x Si) Graphite is a semi-metal (semiconductor with band-gap of 0eV) Difficult to turn off, a fundamental challenge Proven planar techniques could be used in fabrication Planar geometry of devices eliminates majority of integration difficulties of carbon nanotubes MIT-LL has begun to explore this material system Leveraging layer transfer, materials, and microelectronic fabrication expertise at the Laboratory HPEC 2006-22

The Integrated Circuit Interconnect backend Challenge Relative Delay 100 10 1 Relative Wiring Delay vs Feature Size* Gate Delay (Fan Out 4) Local Interconnect Global Interconnect (w Repeaters) Global Interconnect (w/o Repeaters) Typical Process Cross-Section* Global Interconnect (up to 5) Intermediate Interconnect (up to 8) Cu Metal Low-κ Dielectric 0.1 250 180 130 90 65 45 32 (1998) (2000) (2002) Process Technology Node (nm) (year) (2004) (2007) (2010) (2013) Local Interconnect Active Device HPEC 2006-23 *From 2005 International Technology Roadmap for Semiconductors (ITRS)

Wire Length Distribution in 90 nm Node IBM Microprocessor* 100000 10000 2D Area = A 1000 100 Very Long Wires 3D A/2 A/2 Shorter Wires 0 to 720 720 to 1440 1140 to2160 2160 to 2880 2880 to 3600 3600 to 4320 4320 to 5040 5040 to 5760 5760 to6480 6480 to 7200 7200 to 7920 7920 to 8640 8640 to 9360 9360 to 10080 10080 to 10800 10800 to 11520 11520 to 12240 12240 to 12960 12960 to 13680 13680 to 14400 14400 to 15120 15120 to 15840 15840 to 16560 16560 to 17280 17280 to 18000 Number of Wires 10 1 Wire Length (μm) >50% of active power (switching) dissipation is in microprocessor interconnects >90% of interconnect power is consumed by only 10% of the wires HPEC 2006-24 *After K. Guarini IBM Semiconductor Research and Development Center

Range of Wire in One Clock Cycle* 300 Process Technology (nm) 250 200 150 100 50 700 MHz 1.25 GHz 2.1 GHz (20 mm x 20 mm Die) 6 GHz From 2003 ITRS Roadmap 10 GHz 13.5 GHz 0 1995 2000 2005 2010 2015 Year 3D Integration increases accessible active devices HPEC 2006-25 *After S. Amarasinghe, MIT Laboratory for Computer Science and Artificial Intelligence

Cross-Section of 3-Tier 3D-integrated Circuit 3 FDSOI CMOS Transistor Layers, 10-levels of Metal Tier-3: Transistor Layer Stacked Vias 3D-Via Back Metal Tier-3: 180-nm, 1.5V FDSOI CMOS Metal Fill Tier-2: Transistor Layer Oxide Bond Interface 3D-Via Tier-2: 180-nm 1.5V FDSOI CMOS 3D-Via 3-Level Metal Oxide Bond Interface Tier-1: Transistor Layer Tier-1: 180-nm, 1.5V FDSOI CMOS HPEC 2006-26 10 μm

Summary Transistor feasibility has been demonstrated to below ~10 nm gate lengths Conventional CMOS (Bulk, SiO 2 gate oxide, poly gates) faces significant challenges to scale below 45nm-node Ultra-thin-body SOI, FinFET, Dual-Gate, Metal Gate, High-k No new device technology has yet emerged that is expected to dethrone silicon CMOS Moore s Law scaling is showing its age and could run into serious speedbumps in the next few years (including economics), but the 2020 roadmap is theoretically feasible Process technology improvements are no longer the performance drivers Future performance improvements will most likely come through circuit, system architecture, and software advancements HPEC 2006-27