Enabling Semiconductor Innovation and Growth

Similar documents
DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

EUV Supporting Moore s Law

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

CLSA Investors Forum 2017

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

EUVL getting ready for volume introduction

Competitive in Mainstream Products

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Lithography Industry Collaborations

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Leadership Through Innovation Litho for the future

Imaging for the next decade

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUV lithography: today and tomorrow

Nikon Medium Term Management Plan

21 st Annual Needham Growth Conference

Intel Technology Journal

(Complementary E-Beam Lithography)

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Credit Suisse European Technology Conference 2008

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Lithography. International SEMATECH: A Focus on the Photomask Industry

Limitations and Challenges to Meet Moore's Law

Global Artificial Intelligence (AI) Semiconductor Market: Size, Trends & Forecasts ( ) August 2018

MAPPER: High throughput Maskless Lithography

Facing Moore s Law with Model-Driven R&D

R&D Status and Key Technical and Implementation Challenges for EUV HVM

GIGAPHOTON INTRODUCTION

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Mask Technology Development in Extreme-Ultraviolet Lithography

Optics for EUV Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Status and challenges of EUV Lithography

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

"L avenir est comme le reste il n est plus ce qu il était Paul Valery, Notre Destin et Les Lettres, 1937)"

Scope and Limit of Lithography to the End of Moore s Law

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

Present Status and Future Prospects of EUV Lithography

The Development of the Semiconductor CVD and ALD Requirement

Beyond Immersion Patterning Enablers for the Next Decade

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Legacy & Leading Edge Both are Winners

GSEF 2019 Advisory Board

The future of lithography and its impact on design

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

Newer process technology (since 1999) includes :

Day One 13 March Day Two 14 March 2019

Changing the Approach to High Mask Costs

It s Time for 300mm Prime

Roadmap Semiconductor Equipment Innovation Agenda

Metrology in the context of holistic Lithography

Half-Year Press Conference

Commercializing Innovation:

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Update on 193nm immersion exposure tool

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Optical Microlithography XXVIII

Imec pushes the limits of EUV lithography single exposure for future logic and memory

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

High-NA EUV lithography enabling Moore s law in the next decade

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

EUV lithography: status, future requirements and challenges

Recent Trends in Semiconductor IC Device Manufacturing

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY

Capital One Securities, Inc.

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

IMPACT OF 450MM ON CMP

Mid/Long-Term Management Policy

HOW TO CONTINUE COST SCALING. Hans Lebon

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

Advanced Patterning Techniques for 22nm HP and beyond

The SEMATECH Model: Potential Applications to PV

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

Advancing Industry Productivity

Opto-Mechanical Equipment of KBTEM: Present Day and the Future

Semiconductor Industry Perspective

M. Kevin McEvoy. Oceaneering International, Inc. Chief Executive Officer 2015 GLOBAL ENERGY AND POWER EXECUTIVE CONFERENCE JUNE 2, 2015 NEW YORK, NY

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

ISMI Industry Productivity Driver

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Pareto s Annual Oil & Offshore Conference

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Innovation to Advance Moore s Law Requires Core Technology Revolution

Transcription:

Enabling Semiconductor Innovation and Growth EUV lithography drives Moore s law well into the next decade BAML 2018 APAC TMT Conference Taipei, Taiwan Craig De Young Vice President IR - Asia IR March 14, 2018

Forward looking statements This document contains statements relating to certain projections, business trends and other matters that are forward-looking, including statements with respect to expected trends and outlook, including expected trends in the semiconductor market, expected annual operating profit, systems backlog, statements with respect to expected revenue growth in the semiconductor market, including expected forecast growth by market, the expected relative semiconductor content in automotive innovations by 2030, expected growth drivers in lithography demand in 2020, statements with respect to industry shrink roadmaps, EUV insertion plans and customer roadmaps, statements with respect to the expected continuation of scaling and transistor density by 2030, expectations with respect to EUV, including expected benefits, including lithography cost reduction and the expected benefits of High NA, target performance, EUV industrialization, including availability and throughput, shipments and the expectation that the installed base of EUV systems will double in 2018, the expected innovation pipeline in the next 10 years and beyond, the expected increased impact of new scalable memory types in the next 10 years and the expectation that such types will continue driving lithography, statements with respect to shrink being a key driver supporting innovation and providing long-term industry growth, lithography enabling affordable shrink and delivering value to customers, and statements with respect to the expected continuation of Moore's law and that EUV and scaling and shrinking will continue to support and enable Moore s law and drive long term value for ASML beyond the next decade. You can generally identify these statements by the use of words like "may", "will", "could", "should", "project", "believe", "anticipate", "expect", "plan", "estimate", "forecast", "potential", "intend", "continue", "targets", "commits to secure" and variations of these words or comparable words. These statements are not historical facts, but rather are based on current expectations, estimates, assumptions and projections about the business and our future financial results and readers should not place undue reliance on them. Forward-looking statements do not guarantee future performance and involve risks and uncertainties. These risks and uncertainties include, without limitation, economic conditions, product demand and semiconductor equipment industry capacity, worldwide demand and manufacturing capacity utilization for semiconductors, including the impact of general economic conditions on consumer confidence and demand for our customers' products, competitive products and pricing, the impact of any manufacturing efficiencies and capacity constraints, performance of our systems, the continuing success of technology advances and the related pace of new product development and customer acceptance of new products including EUV, the number and timing of EUV systems expected to be shipped and recognized in revenue, delays in EUV systems production and development and volume production by customers, including meeting development requirements for volume production, demand for EUV systems being sufficient to result in utilization of EUV facilities in which ASML has made significant investments, our ability to enforce patents and protect intellectual property rights, the outcome of intellectual property litigation, availability of raw materials, critical manufacturing equipment and qualified employees, trade environment, changes in exchange rates, changes in tax rates, available cash and liquidity, our ability to refinance our indebtedness, distributable reserves for dividend payments and share repurchases, results of the new share repurchase plan and other risks indicated in the risk factors included in ASML's Annual Report on Form 20-F and other filings with the US Securities and Exchange Commission. These forward-looking statements are made only as of the date of this document. We do not undertake to update or revise the forward-looking statements, whether as a result of new information, future events or otherwise. Slide 2 January 17, 2018

Semiconductor Scaling has changed how we Transistor density Slide 3 LIVE WORK PLAY Millions per mm 2

Insatiable need to transfer, store and analyse data drives a continuous and growing demand for semiconductors Slide 4 Consumer Electronics Smartphones PC, laptop, tablets Factory Automation Autonomous driving

Scaling/Shrinking Supports Moore s Law Moore s Law is underpinning a business model Slide 5 1 IC performance improvement at similar cost Takeaways Part of the profits are reinvested in R&D, equipment 4 2 Improved electronic devices and new applications >$250+ billion of annual operating profit is riding on the industry s ability to keep this cycle going >$250+ billion of operating profit per year Consumers and businesses upgrade or adopt new products 3

ebay Cognizant ADP NetEase Yahoo Murata TE Connect Canon ASML operates in a highly profitable value chain with strong incentives to compete and drive innovation Slide 6 ASML Applied Materials LAM Research KLA-Tencor TEL 2 2 1 1 1 Semi Equipment Semi Manufacturing ASML Peers Semi Non-Semi 13 12 25 6 5 2 3 11 Semi Design There remains a lot of income generated Total EBIT, B$ 60 13 5 8 2 Baidu NVIDIA 2 4 2 Hardware ~250 ~275 ~290 ~280 / Alphabet 2013 14 15 2016 24 20 13 12 6 7 4 2 2 2 2 2 2 Software & Services Top technology companies in our ecosystem (EBIT CY2016, B$) Source: Bloomberg (GICS 45 classification)

WW semiconductor Revenue [B$] Leading edge Logic and Memory processes drive growth in semiconductor markets Slide 7 Revenue growth is coming from those segments where roadmap innovation continues: advanced logic, DRAM and NAND (nonvolatile memory) Lately we ve seen the following trends in semiconductor markets: WW Semiconductor revenue [B$] 450 400 350 300 250 200 150 100 50 CAGR 5% 2D NAND Logic > 20 nm Legacy DRAM Logic 20 nm 3D NAND CAGR 14% CAGR -2% Strong transition to 3D-NAND to continue enabling large capacities at lower cost Slowing DRAM roadmap leading to lower bit growth resulting in price increases, triggering capacity investments Increasing focus on new memory devices (i.e. x-point) Continuing strong drive for logic shrink with process improvements coming on an annual cadence 0 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021 Source: ASML analysis, Gartner

Semiconductors drive 80% of automotive innovations Expected to represent 50% of the cost of goods in 2030 (per Audi) Slide 8 Relative Semiconductor content in automotive [%COG] 100 90 80 70 60 50 40 30 20 10 0 1991 2015 2020 2030 Source: Berthold Hellenhtal, Audi, Cross industry collaboration networks accelerate innovations, ISS Europe, Munich, March 2017

NAND CHINA IoT Disruptive trends also drive litho demand Growth drivers: 2017 to 2020+ Slide 9 Storage Class Memory Growth and transition to 3D NAND China Greenfield Investments Emerging connected devices market (IoT) Data volume & complexity Sensors & Devices You are here Images & Media Text Internet of Things will connect a growing number of devices from 12 billion in 2012 to 50 billion in 2020 IoT devices shipments, B units 2010 2020 Source: John Kelly III, IBM, December 2015 Enterprise Chinese government supports massive investment into domestic semi industry 0 +65% p.a. 0.3 0.2 0.2 0.1 0.4 2015 16 17 18 19 2020

Transistor density Scaling will continue towards 1 billion transistors per mm 2 We are ready to support the Semi industry s ambition through the extension of Moore s Law Slide 10 Millions per mm 2 High-NA EUV EUV (+ pattern fidelity control) Multi-patterning (+ source-mask optimization, control loops) Immersion (+ optical proximity correction)

EUV A New Technology in Lithography Slide 11 New technology transitions: customer perspective EUV progress & plans EUV infrastructure EUV extendibility ~4 m ~3 m ~8 m

What is EUV? A litho technology that delivers 3x -> 5x Resolution Enhancement Slide 12 Resolution = k 1 x l ArF immersion EUV NA k 1 difficulty, limit = 0.25 k 1 = 0.265 strong OPC mask k 1 = 0.32 OPC mask l Wavelength 193nm 13.5nm NA Numerical Aperture NA 1.35 Maximum NA 0.33 Current NA > 0.5 Future Resolution Minimum pitch 76nm 38nm half pitch 26nm 13nm half pitch < 16nm < 8nm half pitch

Dilemmas when adopting a game-changing technology Early decision making Slide 13 It works It does not work We have it We do not have it??

How customers approach new technology insertions Slide 14 Visionary/champion R&D enthusiasm First results Business manager Shouldn t we go for this? Manufacturing push back Tough criteria, entrance hurdles Dynamics: progress vs. milestones Product roadmap timing Business decision with up/down ticks Different risk appetite per customer and per segment EUV Case Done To be addressed To be considered

Technology transitions: decisions based on early results You have to move to where the puck will be, not where it is (Wayne Gretzky) Slide 15 Decision point Desired performance at the time of volume ramp Performance Increasing complexity introduces additional risk - lengthening leadtimes Time

General rule of New Technology adoption Slide 16 Early adoption is risky Late adoption is expensive

EUV rewards at 7nm are clear: simpler process, shorter cycle time enabling faster yield ramp and time to market 90 Typical # Litho Passes Modelled 7nm Cycle Time, weeks 0 1 2 3 4 5 6 7 8 9 10 11 12 13 Slide 17 Jan 2018 85 80-13% -21% ArFi only 75-19% 70 EUV Low 65-33% 60 0 14/16nm 10nm 7nm EUV High Dr. Gary Patton, Global Foundries SEMI ISS 2017

EUV introduction delivers compelling benefits in layout flexibility and process simplification Slide 18 Jan 2018 2D EUV patterning More layout flexibility for designers Simpler process integration for engineers EUV process simplification Superior device performance Improved device variability Esin Terzioglu, Qualcomm, International Symposium on EUV, October, 2014 Jeffrey Shearer et al, IBM, AVS, November 2014 Resulting in more effective shrink + higher yields

# Process steps for 1 patterning step EUV alternatives are very costly and complex Immersion Multiple Patterning EUV Slide 19 Process Steps 60 50 40 34 60 CMP Dry Etch Metrology Lithography Track Deposition Clean Hard mask 30 27 20 10 10 LE3 = 3x Litho-Etch, Triple patterning LE4 = 4x Litho-Etch, Quad patterning SAQP = Spacer Assisted Quad Patterning Cut = Separate Litho-Etch step 0 LE3 LE4 SAQP + 3 cuts Single exposure

Relative Cost per Pixel EUV enables continued Litho cost reduction PAS 2500/10 PAS 5500/60 Slide 20 Res. 900nm, 150mm 66wph 1 Res. 450nm 200mm 48wph XT:1400 0.1 Resolution 65nm 300mm 145wph NXE:3400 AT:850 0.01 Res. 110nm 300mm 102wph NXT:1950i Res. 13nm 300mm 125wph 0.001 Res 38nm, 300mm 190wph 1984 1987 1990 1993 1996 1999 2002 2005 2008 2011 2014 2017 TBD

Slide 21 So where are we now?

EUV industrialisation: from technology demonstration to HVM System 2006 2017 Slide 22 Resolution : Overlay : Throughput : 40 nm 15 nm 0.05 WPH 3x 10x 2,500x 13 nm 1.5 nm 125 WPH

Significant progress in EUV industrialisation Slide 23 EUV Source & Throughput Proven Power 1 & Wafers/Hour 2 EUV Availability Uptime % Cumulative EUV wafer exposures NXE:3xxx, Wafers Source Power Throughput, W/Hr 250W 3 300W 100% >2M 125 W/Hr 155W/Hr 0.6M 1.1M Uptime Planned upgrades 0.3M 2014 2015 2016 2017 2020 Target 0% 2016 2017 2018 2011 2012 2013 2014 2015 2016 2017 1 Demonstrated on test rig, 2 Demonstrated at ASML or Customer, 3 Enables 145W/Hr on NXE:3400B

Evolution of EUV Infrastructure readiness Slide 24 EUV Infrastructure 11/14 10/15 11/15 10/16 02/17 E-beam mask inspection AIMS Mask Inspection Actinic Blank Inspection From 2016 EUVL Symposium EUV Pellicle EUV Blank Quality Blank multi-layer deposition tool EUV Resist QC Actinic Patterned Mask Inspection Source: Britt Turkot, Intel, International Workshop on EUV Lithography, California, June 2017.

Storage Memory Storage Class Memory Performance Memory Logic Industry shrink roadmap and EUV insertion plans EUV in Production Slide 25 HVM 2014 2015 2016 2017 2018 2019 2020 2021 2022 20 nm 16-14 nm 10 nm 7 nm 5 nm 3 nm Node name DRAM 28-30 20-22 1X 1Y 1Z next Minimum half pitch PC-RAM, ReRAM etc. 2X /x2 1X /x4 1Y /x8 1Z /x8 Minimum half pitch /x number of layers Planar Floating Gate NAND Today s status Production 1 22 17-18 3D NAND 14-15 Development 1 Research 1 Roadmap 2 x24 x32 x48 x64 >x96 >x128 >x192 >x200 x number of layers Source: 1) Customers - public statements,, IC Knowledge LLC; 2) ASML extrapolations

...which is supported by Customer shipments and orders Installed Base of EUV systems expected to double in 2018 Slide 26 NXE:33x0 and NXE:3400 Shipments and Installed Base R&D HVM ramp Installed Base Planned Shipments 30+ 22 22 7 9 12 10 End Q4 17 order backlog: 28 systems from 6 Customers 3 4 2 3 13 14 15 16 17 18 19 20

And by recent customer statements on EUV insertion Slide 27

Slide 28 What s next?

Customer roadmaps extend 10 years 1000 Slide 29 1 nm 2 nm Transitor Density MTr / mm 2 100 10 45/40 nm 28 nm 28/32 nm 20 nm 14/16 nm 10 nm 7 nm 5 nm 3 nm Density extrapolated, timing based on customer reviews 2017 1 2007 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 HVM Wafer Start Date 2021 2022 2023 2024 2025 2026 2027

Resolution, nm = k 1 x Wavelength / NA High NA extends EUV with a larger resolution step than immersion did for ArF 1,000 100 XT:1400 45% NXE:3400 EUV 13.5 nm 13nm, 0.33 NA >10x 436, g-line 365, i-line 248, KrF 193, ArF Slide 31 Wavelength, nm 10 1 g-line i-line ArF Immersion KrF EUV Development systems xx% ArF 193nm 65nm, 0.93 NA Increase in NA NXT:1950i ArFi 193nm 38nm, 1.35 NA 1985 1990 1995 2000 2005 2010 2015 2020 2025 67% 13.5, EUV Timing TBD High NA EUV EUV, 13.5nm <8nm, >0.5 NA

Relative Cost per Pixel High NA EUV extends cost per pixel reduction PAS 2500/10 PAS 5500/60 Slide 31 1.000 Res. 900nm, 150mm 66wph Res. 450nm 200mm 48wph XT:1400 0.100 Resolution 65nm 300mm 145wph NXE:3400 AT:850 High NA EUV 0.010 Res. 110nm 300mm 102wph NXT:1950i Res. 13nm 300mm 125wph Res. <8nm 300mm 185wph 0.001 Res 38nm, 300mm 190wph 1984 1987 1990 1993 1996 1999 2002 2005 2008 2011 2014 2017 2020 TBD 2023

EUV shrink + Holistic Litho (addressing k 1 ) keeps Moore s Law affordable Slide 32 Exposure with high order optimisation Computational Lithography Ensure measurement captures a maximum of relevant information Optical & e-beam Metrology

Our innovation pipeline will enable advanced imaging and imaging process control the next 10 years and beyond Slide 33

EUV Summary Slide 34 7nm Customers are targeting EUV introduction at 7nm to take advantage of process complexity, cycle time, IC shrink, yield, & performance benefits Key EUV industrialisation & performance milestones have been achieved in 2017, together with solid progress in EUV mask and resist infrastructure EUV introduction enables a return to Litho enabled cost reduction with the opportunity to extend multiple generations ASML is investing in a roadmap to enable continued Holistic Lithography scaling for the coming decade

What this means for ASML As IC units grow and Litho Intensity grows.. ASML grows! Slide 35

Summary - Our customers and their environment Strong incentives for the entire industry to continue IC performance and cost improvements, now also driving system innovations Our logic customers have roadmaps that extend to 2027 and are not planning to slow down scaling Memory market is growing and performance improvements continue, enabled by 3D stacking and new scalable memory types. The latter will have an increasing impact the coming 10 years and continue to drive lithography Slide 36