A process for, and optical performance of, a low cost Wire Grid Polarizer

Similar documents
Major Fabrication Steps in MOS Process Flow

Strategies for low cost imprint molds

New Optics for Astronomical Polarimetry

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Micro- and Nano-Technology... for Optics

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Zone-plate-array lithography using synchrotron radiation

Sub-50 nm period patterns with EUV interference lithography

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Infrared wire grid polarizers: metrology, modeling, and laser damage threshold

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

EUV Plasma Source with IR Power Recycling

plasmonic nanoblock pair

Confocal Imaging Through Scattering Media with a Volume Holographic Filter

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

EUV Substrate and Blank Inspection

Quantized patterning using nanoimprinted blanks

Anti-reflection Coatings

Optical Requirements

Mask Technology Development in Extreme-Ultraviolet Lithography

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Fabrication of Probes for High Resolution Optical Microscopy

MICRO AND NANOPROCESSING TECHNOLOGIES

Chapter 36: diffraction

Nanoscale Lithography. NA & Immersion. Trends in λ, NA, k 1. Pushing The Limits of Photolithography Introduction to Nanotechnology

Supplementary Figure 1 Reflective and refractive behaviors of light with normal

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

Module - 2 Lecture - 13 Lithography I

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Will contain image distance after raytrace Will contain image height after raytrace

Influence of dielectric substrate on the responsivity of microstrip dipole-antenna-coupled infrared microbolometers

Design Rules for Silicon Photonics Prototyping

Near-field optical photomask repair with a femtosecond laser

Diffractive optical elements and their potential role in high efficiency illuminators

The Simulation, Design, and Fabrication of Optical Filters

University of California, Berkeley Department of Mechanical Engineering. ME 290R Topics in Manufacturing, Fall 2014: Lithography

Breakout Session 3: Mirror Update. 2007/4/ /22 Peter M. Stefan LCLS Facility Advisory Committee (FAC) Meeting

Introduction of WGF TM Wire grid polarizer film

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

Titelfoto. Advanced Laser Beam Shaping - for Optimized Process Results and Quality Inspection in the PV Production - Maja Thies.

Nanostencil Lithography and Nanoelectronic Applications

SUPPLEMENTARY INFORMATION

Optical Bus for Intra and Inter-chip Optical Interconnects

Lecture 04: Solar Imaging Instruments

Holistic View of Lithography for Double Patterning. Skip Miller ASML

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

Micro- and Nano-Technology... for Optics

2009 International Workshop on EUV Lithography

Symmetrically coated pellicle beam splitters for dual quarter-wave retardation in reflection and transmission

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Spatially Resolved Backscatter Ceilometer

2.1 BASIC THEORY: INTERFERENCE OF TWO BEAMS

Design of a light-guide used for the real-time monitoring of LCD-displays

SUPPLEMENTARY INFORMATION

Performance of Microchannel Plates Fabricated Using Atomic Layer Deposition

Supporting Information 1. Experimental

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

Photolithography II ( Part 2 )

Tunable Color Filters Based on Metal-Insulator-Metal Resonators

High Spectral Resolution Plasmonic Color Filters with Subwavelength Dimensions Supplemental Information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PREPARED BY: I. Miller DATE: 2004 May 23 CO-OWNERS REVISED DATE OF ISSUE/CHANGED PAGES

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Exercise 8: Interference and diffraction

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

E LECTROOPTICAL(EO)modulatorsarekeydevicesinoptical

Silicon Photonic Device Based on Bragg Grating Waveguide

PREPARED BY: I. Miller DATE: 2004 May 23 CO-OWNERS REVISED DATE OF ISSUE/CHANGED PAGES

Obducat NIL 6. Nanoimprinting with NRF s NIL 6

State-of-the-art device fabrication techniques

Automated Spectrophotometric Spatial Profiling of Coated Optical Wafers

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Synthesis of projection lithography for low k1 via interferometry

Radial Coupling Method for Orthogonal Concentration within Planar Micro-Optic Solar Collectors

Micro-Nanofabrication

Supplementary Information for. Surface Waves. Angelo Angelini, Elsie Barakat, Peter Munzert, Luca Boarino, Natascia De Leo,

Fabrication of concave gratings by curved surface UV-nanoimprint lithography

Flat Top, Ultra-Narrow Band Pass Optical Filters Using Plasma Deposited Hard Oxide Coatings

Nano-structured superconducting single-photon detector

Lecture 5. Optical Lithography

Katarina Logg, Kristofer Bodvard, Mikael Käll. Dept. of Applied Physics. 12 September Optical Microscopy. Supervisor s signature:...

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Optolith 2D Lithography Simulator

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Microlens array-based exit pupil expander for full color display applications

DOE Project: Resist Characterization

Photolithography Technology and Application

Microlens formation using heavily dyed photoresist in a single step

Super-resolution imaging through a planar silver layer

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

16nm with 193nm Immersion Lithography and Double Exposure

Transcription:

1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition has been combined with high aspect ratio imprinted structures to create wire grid polarizers (WGP s) for use as polarization recyclers in liquid crystal displays 1. Polarization recycling is utilized today in some LCD designs with 3M s DBEF reflective polarizer and power savings of 30% are realized. 3M s reflective polarizer is a multilayer stack of birefringent and isotropic films that reflects one plane of polarization and passes the other with a contrast ratio of 10:1, which is not sufficient to replace the rear polarizer and is therefore an additional film, usually in the backlight. WGP s offer a way to create a much higher contrast reflective polarizer and the challenge is to make low cost WGP over large areas. The design rules for a 4,000:1 contrast polarizers are a pitch of 130 nm and metal thickness of > 30 nm, and for a 20,000:1 contrast a pitch of 100 nm and metal thickness of >50 nm i.e. a 1:1 aspect ratio metal line. The traditional approach to make a WGP is to deposit metal, pattern resist and then etch the metal layer; because of the nanoscale feature sizes, this approach is suitable only for fabricating polarizers 200mm in diameter. Oblique deposition on the sidewall of permanent features (self-shadowing) has been proposed as a way to fabricate these structures using a simpler process so that much larger area WGP s can be realized using roll-to-roll processes. Agoura Technology has used a proprietary computer simulation of the metal deposition process to determine the optimal feature shape and deposition geometry to create a WGP using oblique deposition in a roll-to-roll process. We fabricated a 130 nm pitch master pattern using seamless step and repeat optical lithography. The process is illustrated in Figure 1. The pattern was replicated onto a plastic film using imprint lithography, and simple bell jar Al evaporator was modified to support different deposition configurations. Low angle deposition has been extensively used in the disk drive industry. In this paper we report the simulation, process and optical results for the oblique deposition WGP. We will also propose the next steps to the fabrication of meter sized WGP. 2.0 Experimental 2.1 Masters A commercial 140 nm polarizers was used as an imprint mold for early experiments. A commercial foundry resource was used to create the 130 nm pitch master pattern. A 0.85 NA immersion stepper was used with a simple binary mask. The patterns were etched into a layer of silicon dioxide using varying conditions to customize the feature profile. 2.2 Imprint The imprinted films were created on a Nanonex imprinter. In addition some roll to roll imprint samples were created with the help of Wavefront Technology Inc. 2.3 Metallization A laboratory bell jar Al evaporator was used to deposit films. The angular range of the evaporation was controlled using baffles, and the deposition angle was set by varying the angle of the film relative the deposition axis. 2.4 Simulation

The Agoura has developed a 2-D ballistic Monte Carlo deposition simulator for modeling film growth on structured surfaces (e.g., ridges) in collaboration with Prof. Dan Coronell, at the Rose-Hulman Institute of Technology. 3.0 Results The process of self-shadowing is illustrated in Figure 2. Normal incidence deposition coats the surface uniformly. A 45 degree angled deposition creates a pile up on one edge but there is still metal over the entire surface. Adding collimation to the deposition source shadows the bottom of the feature creating isolated metal lines and spaces. The simulation was used to determine both the optimal line shape and the tolerance on the deposition conditions. Two different profiles are shown in Figure 3, both created satisfactory metal shapes. This suggests that significant natural variation in line shapes can be tolerated. The deposition geometry is more important that line shape as shown in Figure 4. The spread of the deposition must be matched to the shading window created by angle of deposition and the height and spacing of the features. We found that an aperture ratio of 2 or beam spread of 45 degree and an angle of 45 degrees was needed for a line pitch of 130 mm nand feature height of 200 nm. If the match is wrong then metal is either deposited in the base of the space, or there are wings at the top of the line. The tolerance of deposition angle and spread are key inputs to the setup of the deposition system. The analysis suggests that either evaporation or sputtering can be used, however the system designs are different. In order to create a oriented deposition, the metal atoms must make it to the substrate without being scattered which is determined by the mean free path between collisions. Mean free path is a function of flux, working distance between source and target, and vacuum level. A sputtering system for oriented deposition must be designed with a much shorter working distance. The simulation results were tested using imprint to create the line with controlled profiles. The master patterns at 130 nm over a 200x200 mm area were created by optical step and repeat. A set of wafers was fabricated with varying seam from 1 um to <0.1 um so to determine the minimum seam that can be seen by the naked eye. The seams between optical fields needed to be less than 0.5 um in order to be undetectable to the naked eye. Pictures of the silicon masters highlighted by low angle illumination are shown in Figure 5. The masters were replicated in to PMMA films on a Nanonex imprint tool using thermal imprint. and then deposition in a modified bell jar Aluminum evaporator. AFM was used to visualize the patterns after deposition as shown in Figure 6. The AFM shows a smooth edged pattern consistent with simulations. The definitions of the optical terms are shown in Figure 7. Contrast results are shown in Figure 8. The contrast of the oblique deposited samples were comparable to a conventionally etched WGP. There is no loss in performance from the simpler oblique process. Both sets of experimental data showed a 3x lower contrast than theoretical predictions 2. The shortfall in the optical contrast, measured by both Moxtek and Agoura, relative to the simulated performance is a systematic offset due to actual metal lines being rougher than the perfect lines assumed in the simulation. Nevertheless, the trend of exponential rise in contrast with decreasing pitch holds for oblique deposition polarizers. The amount of metal deposited also affects optical performance. As the metal deposited increases, the metal lines become wider and the transmission decreases and at the same time the contrast increases as shown in Figure 9.. The point marked Agoura 3/07 indicates a thinner Al deposition, the point marked 10/06 indicates a thicker Al deposition. The thicker Al deposition produces a higher contrast and lower transmission. The WGP has improved color uniformity of the transmission and reflection in comparison to a multilayer birefringent stack polarizer as shown in Figure 10. The multilayer stack produces periodic variations in optical properties as a function of wavelength.

The WGP also has improved viewing angle from having more uniform optical performance as a function of measurement angle, as shown in the conoscopic data (Figure 11). Again thin film interference in the multilayer stack polarizer produces periodic variations in optical properties as a function of angle. The crossed polar measurements show low transmission over a much larger range of angles, which directly relates to viewing angle. The advantage of replacing a combined DBEF and absorbtive polarizer with a high contrast WGP is illustrated in the calculations in Figure 12. The WGP provides a 5% increase in light output at significantly lower cost. The results show that WGP made by oblique deposition can match etched WGP and multilayer birefringent films for contrast. The WGP also has improved color uniformity than the multilayer birefringent films. 4.0 Next Steps We have shown that a 130 nm pitch WGP fabricated in a 2 step oblique deposition process produces a 10:1 contrast ratio replacement for the 3M DBEF film, that are indistinguishable from a conventional etched WGP. We have also determined the stitch tolerance for making large masters, and the design rules for a roll to roll deposition system. The next step is to make large area devices. There are several possible strategies for creating masters larger than 200x200 mm. Plymouth Grating Inc. can create 200 nm pitch patterns over 900x600 mm area using their scanned interference lithography system. They have shown frequency doubling of these patterns 3. Stitching of imprint patterns to better than 200 nm has been demonstrated by a team from VTT in Finland 4. Both these solutions are limited by the stage travel on the lithography system. Alternatively the masters created on 300 mm wafers could be cut into hexagons and tiled together to cover an unlimited area. If the lines and spaces on the masters have contrasting hydrophobicity, then they can cause a block copolymer to self-align to the master pattern, and fill in the gaps between the master tiles 5, as illustrated in Figure 13. Creating a 100 nm pitch pattern is well within the capability of state of the art immersion lithography systems. The large area imprinting is available commercially and we have teamed with Wavefront Technology Inc to demonstrate patterning as shown in Figure 14. Finally we have developed system designs for a roll to roll metallization system capable of matching throughput, as shown in Figure 15.

Figure 1 Two step process for manufacturing a wire grid polarizer. a schematic and potential implementation using roll to roll embossing and oblique angle deposition. Figure 2 Simulation of showing that oblique angle deposition with restricted spread produces clear lines. Figure 3 Simulation showing that variations in line shape do not impact line clearance.

Figure 4 Effect of baffle aperture ratio on line profiles, showing that an aperture ratio of greater than 2.0 in needed to clear lines for a line with aspect ratio (height/pitch) of 2:1. Figure 5 Visibility of field stitching errors in optical step and repeat masters Figure 6 Profiles of imprint, simulated, and metallized features.

Contrast Ratio Figure 7 Definitions of optical terms. 10 7 Ahn, S.W., et al, Nanotechnology 16, pp1874-1877 (2005) 10 6 10 5 10 4 Simulation Moxtek Measurement 10 3 10 2 10 1 Agoura Measurements Wavelength = 550 nm Fill Factor = 50% 40 80 120 160 200 240 Grating Pitch, nm Figure 8 Optical contrast results

Figure 9 A Contrast vs. Optical gain and LCD power map. The two dated Agoura point are the same 130 nm period grating with different Al deposition times. As the metal thickness increases, the contrast goes up and the transmission goes down The Agoura Target shows the performance needed for a reflective rear polarizer. Figure 10 Comparison of transmission and reflection of a Wire Grid Polarizer (Agoura) and a multilayer film polarizer (3M DBEF) showing the improved color uniformity of the WGP.

DBEF multilayer film Agoura Wire Grid Polarizer Figure 11 Multi-angle intensity measurements, showing the improved viewing angle for the Wire Grid Polarizer. Top - parallel polarizer, Bottom crossed polarizer.

Figure 12 Increased efficiency from a single reflective back polarizer compared to a DBEF absorber polarizer combination Figure 13 Large area masters by tiling

Figure 14 Large area imprint patterns from Wavefront Inc 6. Figure 15 Designs for a high throughput metallization tool. 1 U.S. Patent 7351346 2 Ahn S.W, et al, Nanotechnology 16, 1874-1877 (2005) 3 Y. Zhao et al, JVST B 25(6), p2439 (2007) 4 T. Haatainen et at, NTT Conference 2006 5 U.S. Patent Applied 6 Picture courtesy of Wavefront Technology.