EUVL getting ready for volume introduction

Similar documents
EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

EUV lithography: today and tomorrow

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Imaging for the next decade

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

EUV lithography: status, future requirements and challenges

Status and challenges of EUV Lithography

EUV Supporting Moore s Law

R&D Status and Key Technical and Implementation Challenges for EUV HVM

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

2009 International Workshop on EUV Lithography

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Leadership Through Innovation Litho for the future

High-NA EUV lithography enabling Moore s law in the next decade

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Optics for EUV Lithography

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Nikon EUVL Development Progress Update

Update on 193nm immersion exposure tool

Progress in full field EUV lithography program at IMEC

Enabling Semiconductor Innovation and Growth

Scaling of Semiconductor Integrated Circuits and EUV Lithography

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

EUV Lithography Transition from Research to Commercialization

Scope and Limit of Lithography to the End of Moore s Law

Advanced Patterning Techniques for 22nm HP and beyond

Optics for EUV Production

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

EUVL Exposure Tools for HVM: It s Under (and About) Control

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

EUV Light Source The Path to HVM Scalability in Practice

From ArF Immersion to EUV Lithography

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Optical Maskless Lithography - OML

Mask Technology Development in Extreme-Ultraviolet Lithography

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

Progress & actual performance of the Selete EUV1

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Lithography on the Edge

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Metrology in the context of holistic Lithography

Competitive in Mainstream Products

EUVL: Challenges to Manufacturing Insertion

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

NXE: 3300B qualified to support customer product development

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Lithography Industry Collaborations

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

The future of lithography and its impact on design

EUVL Activities in China

MAPPER: High throughput Maskless Lithography

MICROCHIP MANUFACTURING by S. Wolf

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Present Status and Future Prospects of EUV Lithography

Imec pushes the limits of EUV lithography single exposure for future logic and memory

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling

EUVL: Challenges to Manufacturing Insertion

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

ADVANCED TECHNOLOGY FOR EXTENDING OPTICAL LITHOGRAPHY

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Beyond Immersion Patterning Enablers for the Next Decade

ASML s customer magazine

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

Progresses in NIL Template Fabrication Naoya Hayashi

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Evaluation of Technology Options by Lithography Simulation

Part 5-1: Lithography

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

(Complementary E-Beam Lithography)

Novel EUV Resist Development for Sub-14nm Half Pitch

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Newer process technology (since 1999) includes :

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Optical Microlithography XXVIII

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Mask magnification at the 45-nm node and beyond

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

Optical Maskless Lithography (OML) Project Status

Transcription:

EUVL getting ready for volume introduction SEMICON West 2010 Hans Meiling, July 14, 2010 Slide 1 public

Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress on 0.32NA EUV systems Outlook Slide 2 public

Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress on 0.32NA EUV systems Outlook Slide 3 public

Resolution (half pitch) "Shrink" [nm] 200 100 80 60 50 40 30 20 10 8 IC & Lithography roadmap towards <10nm Source: Customers, ASML, 05/10 AT:1200 XT:1400 XT:1700i DRAM XT:1900i NAND Flash NXT:1950i EUV-ADT DPT NXE:3100 NXE:3300B NXE:3300C DPT² DPT k 1 0.30 ~ 0.35 k 1 0.27 ~ 0.30 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 Year of production start Notes: 1. R&D solution required 1.5~ 2 yrs ahead of Production 2. EUV resolution requires 7nm diffusion length resist 3. DPT = Double Patterning Slide 4 public LOGIC DRAM Logic / SRAM 6 Transistor SRAM Cell k 1 0.40 ~ 0.44 NAND KrF ArF ArFi DPT EUV

Litho costs back to normal with EUV >100 W/hr 3.5 3 DPT case, Litho cost increases 2 ~ 3 times EUV case, Litho cost trend returns Litho cost per wafer [a.u.] 2.5 2 1.5 1 0.5 0 1 st Gen. DTP 2 st Gen. DTP KrF set 1400 set 1900i set 1900i DPT 1900i DPT EUV 100W/hr EUV 180W/hr Source: Samsung, Prague, oct 2009 Slide 5 public

EUV can increase the fab capacity 2x Larger footprint required to support Multi Patterning schemes NXT Track Etch CVD Strip NXE Spacer double patterning EUV 1200 m 2 clean room 130k Wafers / Month 627 m 2 clean room OR 250k Wafers / Month Slide 6 public

Critical issues EUV 2005-2009 2005 / 32hp 2006 / 32hp 2007 / 22hp 2008 / 22hp 2009 / 22hp 1. Resist resolution, sensitivity & LER met simultaneously 1. Reliable high power source & collector module 1. Reliable high power source & collector module 1. Long-term source operation with 100 W at IF and 5MJ/day 1. MASK 2. Collector lifetime 2. Resist resolution, sensitivity & LER met simultaneously 2. Resist resolution, sensitivity & LER met simultaneously 2. Defect free masks through lifecycle & inspection/review infrastructure 2. SOURCE 3. Availability of defect free mask 3. Availability of defect free mask 3. Availability of defect free mask 3. Resist resolution, sensitivity & LER met simultaneously 3. RESIST 4. Source power 4. Reticle protection during storage, handling and use 4. Reticle protection during storage, handling and use Reticle protection during storage, handling and use EUVL manufacturing integration Reticle protection during storage, handling and use 5. Projection and illuminator optics quality & lifetime 5. Projection and illuminator optics quality & lifetime Projection / illuminator optics and mask lifetime Projection and illuminator optics quality & lifetime Source: Int l SEMATECH, EUVL Symposium, Prague (Czech Republic), 2009 Slide 7 public

Mask infrastructure improvements on blanks & inspection near levels needed for pilot production 350 300 50 nm@m7360 60 nm@m1350h Defect counts 250 200 150 100 1/10 50 0 Q2 08 Q4 08 Q2 09 Q1 10 ML/QZ ML/ULE Optical inspection able to detect phase defects <3.4 nm x 45.4 nm in size² 1 Source: Hoya, Samsung EUV conference april 2010 2 Source: KLA, EUV symposium Prague, October 2009 Slide 8 public

EUV resist makes steady progress Extrapolation of 2004-2010 progress matches shrink roadmap 50 45 NXE:3100 (10mJ/cm2) NA/σ=0.25/0.8 NXE:3300 (15mJ/cm2) NA/σ=0.32/0.9 NXE:3300 (15mJ/cm2) NA/σ=0.32/dipole Node Introduction Resolution 40 35 30 25 22nm dense lines Dose 12.8mJ/cm2 4.3nm LWR 0.3NA small field 20 15 Jan-04 Jan-05 Jan-06 Jan-07 Jan-08 Jan-09 Jan-10 Jan-11 Jan-12 Jan-13 Jan-14 Source: 22nm, Younkin et. al, Intel, 0.3NA MET tool, EUVS Prague, 2009 data scaled to resolution, dose, LWR, optics contrast and 7% LER by KLUP/z-factor scaling Slide 9 public

EUVL Roadmap supports many generations of shrink 2006 2010 2012 2013 Proto System NXE:3100 NXE:3300B NXE:3300C Resolution 32 nm 27 nm 22 nm 16* nm NA / σ 0.25 / 0.5 0.25 / 0.8 0.32 / 0.2-0.9 0.32 / OAI Overlay (SMO) < 7 nm < 4.5 nm < 3.5 nm < 3 nm Throughput W/hr 4 W/hr 60 W/hr 125 W/hr 150 W/hr Dose, Source 5 mj/cm 2, ~8 W 10 mj/cm 2, >100 W 15 mj/cm 2, >250 W 15 mj/cm 2, >350 W Main improvements 1) New EUV platform: NXE 2) Improved low flare optics 3) New high sigma illuminator 4) New high power source 5) Dual stages Main improvements 1) New high NA 6 mirror lens 2) New high efficiency illuminator 3) Off-axis illumination optional 4) Source power increase 5) Reduced footprint Platform enhancements 1) Off-Axis illumination 2) Source power increase * Requires <7 nm resist diffusion length Slide 10 public

Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress on 0.32NA EUV systems Outlook Slide 11 public

EUV process viability confirmed by two 0.25NA Systems λ 13.5 nm NA 0.25 Field size 26 x 33 mm 2 Magnification 4x reduction σ 0.5 300mm Single stage linked to track Single reticle load Uses TWINSCAN technology Sn discharge source Source: IMEC (Leuven, Belgium) Source: University of Albany (Albany, NY) USA Slide 12 public

Overlay performance supports device integration On-product Overlay Residuals X = 8.0 nm, Y = 7.8 nm Single Machine Overlay X = 2.2 nm, Y = 2.8 nm 300 Frequency 200 100 0 300 Frequency 200 100 0-6 -5-4 -3-2 -1 0 1 2 3 4 5 6 Error X Error Y Source: GlobalFoundries, SPIE 2010 Slide 13 public

22 nm (0.079μm 2 ) node SRAM after etch process integration SRAM cell Node [nm] Half Pitch [nm] Cell size [μm 2 ] Cell size shrink 45 80 0.314 45 70 0.274 13% 32 62 0.186 32% 22 52 0.079 58% 16 35 0.042 47% SRAM array Source: IMEC, EUV Symposium 09 (Prague) Slide 14 public

24nm champion resolution on 0.25NA/0.5σ system From ~32nm half-pitch in 2007 to 24nm in 2010 2.50 2007 no underlayer TMAH dev underlayer TMAH dev 2.00 2008 blurred NILS 1.50 1.00 2009 2010 24nm HP ~19 mj/cm 2 0.50 0.00 35 30 25 20 L/S HP (nm) 15 Slide 15 public

NXE:3100 integration status, July 2010 Reticle Stage and Handler Reticle Handling and Stage control in vacuum Reliability testing since Q4/2009 Vacuum system design verified, <1hour pump down Source Pilot Source operational at ASML Optics 5 Optics sets delivered, Flare improved to 4% Metrology Alignment and Leveling demonstrated, Metrology position fully functional Overlay Ongoing Imaging First slit exposed Wafer Stage and handler Wafer handling and scanning in vacuum Reliability testing since Q4/2009 Slide 16 public

NXE:3100 integration: 3 systems completed NXE:3100 (3) System completed EUV source in installation NXE:3100 (2) System completed First wafer exposed Integration for Imaging NXE:3100 (1) System completed Integration for Overlay, S/W, TPT Slide 17 public PUBLIC

3 more NXE:3100 systems in build-up NXE:3100 (5) Currently used for Stage test setup NXE:3100 (6) System in buildup NXE:3100 (4) System almost complete 2 more Cabins used as work centers / test rigs. All 8 cabins can be used for NXE:3300 manufacturing Slide 18 public PUBLIC

Multiple 3100 lenses manufactured and qualified Wavefront qualified by EUVL interferometer 1.40 1.20 1.00 RMS(Z5-Z37) RMS(spherical) RMS(coma) RMS(ast) RMS(3-foil) RMS [nm] 0.80 0.60 0.40 0.20 0.00 ADT 1 2 3 4 8 7 Multiple 3100 lenses within flare specifications ~15 Flare below 2.0 µm Flare below 2.0 µm (variation over field) design example [%] 6 5 4 3 Field size: 26mm Chief ray at mask: 6 4x reduction ring field design Design is extendable to higher NA 2 1 0 ADT 1 2 3 4 5 Slide 19 public

NXE metrology verified in vacuum Focus and Levelling Mean standard deviation over wafer: 0.9 nm 99.7% value of standard deviations: 1.6 nm Alignment Repeated readouts (drift corrected) (S2N) [%] 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 Red Static Repro results Smash 3σ on fiducial <1nm Green Nir Fir X Y 1 nm m+3s x: 0.5 nm y: 0.6 nm Multiple wafer readout 3σ = 0.6 nm Slide 20 public

Reliability testing ongoing on multiple systems Focus on wafer- and reticle exchange functionality 2500 2250 all wafer- and reticle exchanges in vacuum 5000 4500 Number of Cycles 2000 1750 1500 1250 1000 750 data summed from 3 different systems all exchanges under full SW control 4000 3500 3000 2500 2000 1500 500 250 0 1003 1004 1005 1006 1007 1008 1009 1010 1011 1012 1013 1014 1015 1016 1017 1018 1019 1020 1021 1022 1023 1024 1025 1026 1027 1028 1029 Number of Chuckswaps 1000 500 0 Reticle Exchanges total Wafer+reticle exchanges Wafer Exchanges total Chuckswaps Slide 21 public

Sources integrated with systems at ASML First EUV exposures made Source vessel operational and integrated with scanner system First EUV wafer exposed on integrated system CO 2 laser operational and integrated with scanner system Slide 22 public

On-site source performance: current and expectation Performance as installed at ASML Two sources shipped to ASML, 3 rd one in acceptance testing. Two power upgrades* are planned Upgrade #1 Increased CO 2 power by increased laser gain length. Upgrade #2 Increased CO 2 -to-euv conversion efficiency. Source Configuration Raw Power Expose Power Baseline 40 W 20 W Upgrade #1 80 W 40 W Upgrade #2 200 W 100 W Stable collector performance achieved on proto source. *Ref.: D.C. Brandt (Cymer), SPIE 2010. Slide 23 public

Upgrade #2: Pre-pulse proof-of-concept being validated 4 3 With Pre-Pulse Without Pre-Pulse CE, % 2 pulse-peak CE, measured 2Q 10 pulse-average CE, measured 2Q 10 1 0 0 10 20 30 40 50 60 70 80 90 100 Droplet Diameter, um The target size and density can be optimized by striking the droplet with a pre-pulse laser. The energy of the pre-pulse laser is much less than the main pulse and acts to expand the droplet size and reduce its density. Both the energy and timing of the pre-pulse can be adjusted to achieve best performance. Ref.: D.C. Brandt (Cymer), SPIE 2010. Slide 24 public

Significant source progress required for NXE 3300 Roadmap commitments from multiple suppliers enable NXE productivity 300 250 Supplier 1 Supplier 2 Supplier 3 Public roadmaps enable 125 wph at 15 mj/cm 2 : ~2x power to go Expose Power [W] 200 150 100 50 0 Feb 2009 Oct 2009 Apr 2010 NXE:3100 NXE:3300 Source: Cymer, Ushio, Gigaphoton, SPIE 10, Gigaphoton Press release April 2010 published data scaled with dose control and spectral filtering losses Data April 2010: Cymer 30um droplets, Gigaphoton 60um droplets Slide 25 public

Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress on 0.32NA EUV systems Outlook Slide 26 public

NXE:3300B 1 st shipment: H1 2012 2 nd generation of NXE platform Specifications NA = 0.32 Resolution 22 nm; 18/16nm with OAI Overlay 3.5 nm Productivity 125 wph 15 mj/cm 2 resist Slide 27 public

Six-mirror lens design is extendable to 0.32 NA Resolution improves from 27 to 22 nm Field size 26 mm Chief ray at mask 6 Design complexity/cost increases Larger mirrors Steeper aspheric mirrors High angles of incidence 0.25 NA 0.32 NA design examples NXE:3100 NXE:3300 Slide 28 public

Further resolution improvement with off-axis illumination With dipole illumination resolution improves to below 16 nm half pitch k1 Image contrast (NILS) 3.0 2.5 2.0 1.5 1.0 0.5 Conventional Dipole Quasar Annular Target NILS = 2 CD λ k NA 11 nm 0.0 16 nm 22 nm 32 nm 0.25 0.35 0.45 0.55 0.65 0.75 k 1 32 nm 28 24 22 nm 20 18 16 nm 13 11 nm 0.76 0.66 0.57 0.52 0.47 0.43 0.38 0.31 0.26 = 1 Conventional Annular Quasar Dipole Slide 29 public

ASML c-lithography roadmap supports EUVL Support of ASML EUV scanners through Brion products NXE models OPC & LMC SMO LithoTuner 2006 2010 2012 2013 Proto NXE:3100 NXE:3300B NXE:3300C 2009.09 release 1. Beta release 2010.06 release 1. Production release 2011.06 release 1. Models: NXE:3100, 2012.06 release 1. Models: NXE:3100, 2. Modeling, correction and verification for 2. Models: NXE:3100 3. Optimized Process NXE:3300B 2. Source Mask NXE:3300B, 3300C 2. LithoTuner flare, mask shadowing and low Correction (OPC) 4. OPC Verification Optimization (SMO) k 1 proximity effect (LMC) LMC = Lithography Manufacturability Check SMO = source-mask optimization Slide 30 public

NXE:3300 footprint target is <50% of NXE:3100 Incl. shared service area, for multiple systems in fab. Service Area Sub fab Area NXE:3300 NXE:3100 Footprint Exposure Unit footprint: Subfab footprint (excl. prepumps, abatement) Total footprint (incl. service area) (all area s normalized to 3100) NXE:3100 1 1 1 NXE:3300 0.8 0.4 0.4 Slide 31 public

NXE:3300 mirrors are in production at Zeiss Slide 32 public

Construction of new EUV facilities has started Planned NXE production capacity increases ~3x Existing EUV offices & manufacturing, 8 cabins. New EUV offices & manufacturing,15 cabins. Slide 33 public

Outline ASML s Lithography roadmap to support Moore s Law Progress on 0.25NA EUV systems Progress on 0.32NA EUV systems Outlook Slide 34 public

EUV extendibility possible beyond 10 nm resolution Through increase of the aperture up to 0.7 0.25 Aperture 0.32 0.5 0.7 6 mirror 6 mirror 8 mirror 6 mirror 8 mirror Unobscured Central obscuration design examples Reference: W.Kaiser et al, SPIE 2008 6924-4 Slide 35 public

Extendibility of EUV down to sub 5 nm possible Increasing apertures up to 0.7, wavelength reduction down to 6.8 nm using 13 nm compatible optics with depth of focus as the major challenge 40 0.8 k-factor@13 nm Resolution, Depth of focus [nm] 35 30 25 20 15 10 5 0 2010 2011 2012 2013 2014 2015 2016 Year Slide 36 public 2017 2018 2020 2021 2022 2023 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 k-factor, Aperture Aperture@13 nm k-factor@6.8 nm Aperture@6.8 nm Resolution DOF@13 nm DOF@6.8 nm

Summary 6 NXE:3100 systems have been ordered by customers, in all market segments, worldwide. 1 st HVM source for NXE:3100 is operational at ASML. performance supports system integration, and needs upgrades for 60 W/hr. NXE:3100 in final integration phase for shipment H2 2010. first wafer exposed, reliability testing ongoing. NXE:3300B with 0.32 NA optics is planned for 1H 2012. 3 source suppliers committed to meet productivity target. optics manufacturing has started. EUVL is extendible for multiple nodes through NA and wavelength changes. Slide 37 public

public