EUV Supporting Moore s Law

Similar documents
DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Enabling Semiconductor Innovation and Growth

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Status and challenges of EUV Lithography

EUVL getting ready for volume introduction

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

EUV lithography: today and tomorrow

Leadership Through Innovation Litho for the future

EUV lithography: status, future requirements and challenges

Competitive in Mainstream Products

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Imaging for the next decade

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

CLSA Investors Forum 2017

Optics for EUV Lithography

Nikon Medium Term Management Plan

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Newer process technology (since 1999) includes :

Metrology in the context of holistic Lithography

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

MAPPER: High throughput Maskless Lithography

EUVL Exposure Tools for HVM: It s Under (and About) Control

Credit Suisse European Technology Conference 2008

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Mask Technology Development in Extreme-Ultraviolet Lithography

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Limitations and Challenges to Meet Moore's Law

R&D Status and Key Technical and Implementation Challenges for EUV HVM

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

Optical Microlithography XXVIII

Scaling of Semiconductor Integrated Circuits and EUV Lithography

GIGAPHOTON INTRODUCTION

(Complementary E-Beam Lithography)

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Imec pushes the limits of EUV lithography single exposure for future logic and memory

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

FinFET vs. FD-SOI Key Advantages & Disadvantages

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Intel Technology Journal

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

What s So Hard About Lithography?

Nikon EUVL Development Progress Update

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

High-NA EUV lithography enabling Moore s law in the next decade

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

The future of lithography and its impact on design

Intel Demonstrates High-k + Metal Gate Transistor Breakthrough on 45 nm Microprocessors

Beyond Immersion Patterning Enablers for the Next Decade

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Advanced Patterning Techniques for 22nm HP and beyond

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Update on 193nm immersion exposure tool

EUV Light Source The Path to HVM Scalability in Practice

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

From ArF Immersion to EUV Lithography

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

Market and technology trends in advanced packaging

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

It s Time for 300mm Prime

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling

Process Optimization

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Lithography. International SEMATECH: A Focus on the Photomask Industry

HOW TO CONTINUE COST SCALING. Hans Lebon

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Facing Moore s Law with Model-Driven R&D

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Scope and Limit of Lithography to the End of Moore s Law

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Part 5-1: Lithography

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Design Rules for Silicon Photonics Prototyping

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Present Status and Future Prospects of EUV Lithography

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

W ith development risk fully borne by the equipment industry and a two-year delay in the main

Lithography Industry Collaborations

Commercializing Innovation:

A Closer Look at ASML. September 26-27, 2002

Leading at the edge TECHNOLOGY AND MANUFACTURING DAY

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Transcription:

EUV Supporting Moore s Law Marcel Kemp Director Investor Relations - Europe DB 2014 TMT Conference London September 4, 2014

Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking, including statements with respect to our outlook, expected customer demand in specified market segments, expected sales levels, systems backlog, IC unit demand, expected financial results, gross margin and expenses, expected shipment of tools, productivity of our tools, the development of EUV technology and the number of EUV systems expected to be shipped and timing of shipments, dividend policy and intention to repurchase shares. You can generally identify these statements by the use of words like may, will, could, should, project, believe, anticipate, expect, plan, estimate, forecast, potential, intend, continue and variations of these words or comparable words. These statements are not historical facts, but rather are based on current expectations, estimates, assumptions and projections about the business and our future financial results and readers should not place undue reliance on them. Forward-looking statements do not guarantee future performance and involve risks and uncertainties. Actual results may differ materially from projected results as a result of certain risks and uncertainties. These risks and uncertainties include, without limitation, economic conditions, product demand and semiconductor equipment industry capacity, worldwide demand and manufacturing capacity utilization for semiconductors (the principal product of our customer base), including the impact of general economic conditions on consumer confidence and demand for our customers products, competitive products and pricing, the impact of manufacturing efficiencies and capacity constraints, the continuing success of technology advances and the related pace of new product development and customer acceptance of new products, the number and timing of EUV systems expected to be shipped, our ability to enforce patents and protect intellectual property rights, the risk of intellectual property litigation, availability of raw materials and critical manufacturing equipment, trade environment, changes in exchange rates, available cash, distributable reserves for dividend payments and share repurchases, and other risks indicated in the risk factors included in ASML s Annual Report on Form 20-F and other filings with the US Securities and Exchange Commission. These forward-looking statements are made only as of the date of this document. We do not undertake to update or revise the forward-looking statements, whether as a result of new information, future events or otherwise. Slide 2

Content Slide 3 Semiconductor environment Challenges of shrink Our response: the lithography roadmap

Net Sales Total net sales M by End-use Slide 4 6000 5000 4000 3000 2000 1000 0 3,768 417 487 570 2,294 2,954 437 358 698 1,461 1,596 421 315 233 627 4,508 613 944 366 2,585 5,651 767 1,856 844 2,184 4,732 930 2,279 588 935 5,245 1,252 2,064 440 1,489 YTD 3,040 767 473 526 1,274 2007 2008 2009 2010 2011 2012 2013 2014 Memory IDM Foundry Service & Options Numbers have been rounded for readers convenience

Business environment The ramp of the 20/16/14 nm nodes is set to continue, however as we discussed last quarter some customers continue to evaluate the timing of their litho deliveries to synchronize supply and demand, leading to an adjustment of the ASML Q4 shipment forecast Slide 5 Expected total installed 20/16/14 nm to reach a capacity of approx. 300,000 wspm (wafer starts/month) Bit growth forecast low 40s% Demand being met through planar NAND shrink and capacity expansion No Vertical NAND capacity being added in H2 2014 Bit growth forecast of 20-30% Bits supplied by planned technology transitions meet bit demand forecast Litho process intensity increases due to node transition and mobile DRAM process complexity

The rise of smart phones and tablets Longer term Logic growth Slide 6 Source: Pablo Temprano, Samsung, ISS Jan 2014

Product trends and memory market evolution Memory growth Diversification in the memory market Slide 7 PC Mobile Big Data W/W Memory Revenue ($B) Enterprise Mobile DRAM PC SSD NAND PC DRAM 1985 1990 1995 2000 2005 2010 2015 Source: Pablo Temprano, Samsung ISS, Jan 2014

Content Slide 8 Semiconductor environment Challenges of shrink Our response: the lithography roadmap

Bulk CMOS:100nm gate length Slide 9 No end in sight for logic scaling N20 N20 / N14 N1x / N7 N7 / N5 N5 / N3.5 Gate-all-around transistor Bulk CMOS: SOI: Bulk FinFet : SOI FinFet : Complementary Metal Oxide Semiconductor Silicon on Insulator Fin field effect transistor Silicon on insulator fin field effect transistor, III-V

Content Semiconductor environment Challenges of shrink Our response: the litho roadmap Slide 10

Our Challenge: enable affordable scaling Scaling needs to create lower cost and improved performance ie., support Moore s Law Slide 11 Affordable scaling in lithography can be achieved: In the near term - Immersion: drive productivity and yield (overlay and focus control) with multiple patterning using advanced litho equipment extended with application products - Holistic Lithography/Yieldstar In the mid/long term - EUV: drive productivity/availability and improve operational cost

EUV Immersion ArF Dry KrF Affordable shrink roadmap 2012 2013 2014 2015 2016 2017 2018 2019 2020 Slide 12 OVERLAY : DCO 2.5 nm <1.0 nm NXT:1950i, IMAGING NXT:1960Bi, : CDU 2.0 NXT:1970Ci nm 0.6 nm THROUGHPUT : 230 wafers per hour (wph) >250 wph Supported by a Holistic Lithography approach using OVERLAY:EUV computational to immersion litho, overlay 7.0 nm 1.7 nm and IMAGING CD NXE:3300B, metrology, : Resolution feedback NXE:3350C, 27 nm 7 nm THROUGHPUT : 50 wph >125 wph loops for wider process window creation and process control Overlay main driver in logic/foundry (ArF with 1460K system and KrF with 1060K system) XT:1460,1060,860 Extend the productivity on the non critical KrF (XT:860L/800-3D): memory applications

Today immersion extensions at 10 nm node possible with 1D But critical metal layers require extra wiring layers, adding processing complexity and cost; decreasing chip performance Slide 13 Longer routing and more vias increase resistance and affect performance 2 extra wire distribution layers needed, new integration scheme EUV 2D metal structure Single layer solution ArFi 2D metal structure 3-4 exposures, single layer insufficient patterning fidelity ArFi 1D metal structure 6-9 exposures in 3 layers

Patterning cost normalized to N20/N16 Cost: 1D/immersion vs 2D/EUV Critical metal cost/immersion Slide 14 Patterning cost per flop/immersion Moore's law Critical metal 1D density requires extra layers, results in steep cost increase 1 0.1 Shrink is possible with 1D designs using immersion, but cost reduction slows 40 nm 28 nm 20/16 nm 10 nm 7 nm 5 nm Nodes over time The flip flop is a basic building block of sequential logic circuits.

Patterning cost normalized to N20/N16 Cost: 1D/immersion vs 2D/EUV Critical metal cost/immersion Slide 15 Critical metal cost/euv Patterning cost per flop/immersion 1 Patterning cost per flop/euv Moore's law 2D EUV: critical metal cost dramatically reduced 0.1 Staying with 2D designs using EUV at N10 is more cost-effective, but risk mitigation may force 1D design with multiple patterning 40 nm 28 nm 20/16 nm 10 nm 7 nm 5 nm Nodes over time The flip flop is a basic building block of sequential logic circuits.

EUV technology roadmap - extendibility to <7nm (half pitch) > 5x node generations Slide 16 Half pitch Under study Resolution [nm] 32 27 22 16 13 10 7 <7 Wavelength [nm] Lens Illumination Overlay coherence DCO [nm] layo ut NA 0.25 0.33 s=0.5 s=0.8 s=0.2-0.9 7 4.0 3.0 MMO [nm] - 7.0 5.0 Flex-OAI 13.5 Extended Flex-OAI reduced pupil fill ratio 1.5 1.2 1.0 2.5 2.0 1.7 0.33NA DPT >0.5NA TPT (300mm) Dose [mj/cm 2 ] 5 10 15 Power [W] 3 10-105 80-250 Throughphut [w/hr] - 6-60 50-125 125 125 20 250 20 250 20 500 165

EUV: Evaluations for 10nm process insertion underway Slide 17 Large vacuum chamber New light source Mirror optics

CD [nm] MMO[nm] What did we achieve since last year? EUV meets aggressive 2D logic imaging requirements 31nm 22nm 16nm Good Matched Machine Overlay performance Full wafer MMO NXE:3300B NXT:1950i No MMO sub S2F Stepper recipes Filtered Machine used 3 Full size free-standing psi proto-type pellicle realized Without pellicle CD map (nominal energy) Center field 27 nm L&S With pellicle CD map (nominal energy) Center field 27 nm L&S Slide 18 CD requirements by 80 node 70 60 50 40 30 20 10 Tip-totip Tip-toline Lines and spaces 0 20 nm 16 nm 10 nm 7 nm 5 4 3 2 1 0 10 nm Overlay X 99.7% x: 3.4 nm y: 3.3 nm Overlay Y 1 2 3 4 Systems

Progress on all areas to improve system productivity Source Power Higher conversion efficiency demonstrated Advanced dose controller demonstrated Drive laser power Dose margin Conversion efficiency Wafers per day Automation Collector protection Droplet generator reliability Drive laser reliability Slide 19 System Availability Full automation plasma control with good dose control demonstrated In-situ cleaning of collector demonstrated Optical transmission Overhead optimization Resist sensitivity Scanner Improved coatings for better transmission Reduced overhead ongoing

EUV status at customers: Towards production insertion For process development, customers typically require 100 wafers per day. For pre-production customers have asked us to deliver 500 wafers per day by the end of 2014. Slide 20 2014 Q1 : 100 wafers per day Q2 : 200 wafers per day Q4 : 500 wafers per day In 2016 we will provide our customers with the productivity needed for volume production (typically up to 1,500 wafers/day) 6 NXE:3300B systems fully qualified and shipped to customers 5 more NXE:3300B systems being integrated (3x upgrades NXE:3300B NXE:3350B) 4 th generation NXE system (NXE:3350B) integration ongoing EUV cleanroom extension is under construction

Summary : EUV towards production insertion Multiple customers are qualifying EUV for insertion at the N10 nm logic node Slide 21 Imaging and overlay is in line with requirements for N10 insertion Defect reduction ~10x per year shown and full-size EUV pellicle prototype manufactured EUV source: Improvements demonstrated in conversion efficiency, dose margin, automation and collector lifetime, driving power and availability The value of EUV is undisputed as the lithographic shrink technology of choice for multiple nodes starting in 2016/2017. Our customers and peers continue to support and drive development of EUV systems and infrastructure for introduction of EUV into volume production in the stated timeframe.