Defect printability of thin absorber mask in EUV lithography with refined LER resist

Similar documents
Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Challenges of EUV masks and preliminary evaluation

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

2009 International Workshop on EUV Lithography

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Progress in full field EUV lithography program at IMEC

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Progress & actual performance of the Selete EUV1

SEMATECH Defect Printability Studies

Mask Technology Development in Extreme-Ultraviolet Lithography

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Nikon F2 Exposure Tool

Nikon EUVL Development Progress Update

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology

Progresses in NIL Template Fabrication Naoya Hayashi

Line Width Roughness Control for EUV Patterning

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

EUV Actinic Blank Inspection Tool Development

Evaluation of Technology Options by Lithography Simulation

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

IMPACT Lithography/DfM Roundtable

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

EUV Interference Lithography in NewSUBARU

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

EUVL: Challenges to Manufacturing Insertion

Advanced Patterning Techniques for 22nm HP and beyond

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Update on 193nm immersion exposure tool

PROCEEDINGS OF SPIE. Setting up a proper power spectral. density (PSD) and autocorrelation analysis for material and process

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of ultra-fine structure t metrology system using coherent EUV source

Registration performance on EUV masks using high-resolution registration metrology

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Recent Development Activities on EUVL at ASET

OPC Rectification of Random Space Patterns in 193nm Lithography

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

EUVL getting ready for volume introduction

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING

Development of Nanoimprint Mold Using JBX-9300FS

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Purpose: Explain the top advanced issues and concepts in

EUVL Challenges for Next Generation Devices

Comparison of actinic and non-actinic inspection of programmed defect masks

Pellicle dimensions for high NA photomasks

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

16nm with 193nm Immersion Lithography and Double Exposure

Scope and Limit of Lithography to the End of Moore s Law

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

EUV Multilayer Fabrication

Lithography Industry Collaborations

Projection Systems for Extreme Ultraviolet Lithography

Photomask. Improvement of EUVL mask structure with black border of etched multilayer N E W S. Take A Look Inside: Industry Briefs see page 7

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

EUVL Activities in China

Diffractive optical elements and their potential role in high efficiency illuminators

Novel EUV Resist Development for Sub-14nm Half Pitch

Line edge roughness on photo lithographic masks

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

EUV Lithography Transition from Research to Commercialization

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Optical Requirements

High-NA EUV lithography enabling Moore s law in the next decade

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Optolith 2D Lithography Simulator

EUVL Development in JAPAN

Impact of EUV photomask line edge roughness on wafer prints

Multi-beam mask writer MBM-1000 for advanced mask making

Business Unit Electronic Materials

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

EUV Resists: Pushing to the Extreme

Critical issue of non-topcoat resist for ultra low k 1 lithography

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Optical Microlithography XXVIII

Modeling of EUV photoresists with a resist point spread function

EUV Substrate and Blank Inspection

From ArF Immersion to EUV Lithography

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Transcription:

[#5, MA] Defect printability of thin absorber mask in EUV lithography with refined LER resist Takashi Kamo, Hajime Aoyama, Yukiyasu Arisawa, Mihoko Kijima, Toshihiko Tanaka and Osamu Suga e-mail: kamo.takashi@selete.co.jp EUVL Symposium (October 19 ~ 1, 9) 1

Outline [1] Introduction - Hurdle on Defect Printability Evaluation - Breakdown of Printed LER/LWR [] Experimental Method - How to improve LER/LWR of Printed Image - Experimental condition [3] Results [] Summary EUVL Symposium (October 19 ~ 1, 9)

Hurdle on Defect Printability Evaluation Table Mask Pattern Image and Resist Pattern Image (3nm HP) EUVL Symposium (October 19 ~ 1, 9) T. Kamo, et al., SPIE Advanced Lithography 9 It is difficult to measure critical defect size precisely because printed pattern's lineedge/width-roughness (LER/LWR) is larger than the CD tolerance of 3nm HP and beyond. 3

[1] Mask/Blanks Process Breakdown of Printed LER/LWR - LER/LWR of Absorber Pattern - Roughness of Multilayer Surface Systematic LER/LWR [] Contrast/NILS of Aerial Image - Exposure Tool / Condition (NA,, Flare, ) - Mask Structure (Binary, Att-PSM *, ) [3] Resist Process -Resist Material - Resist Stack (Under Layer, ) - Post Exposure Treatment Random LER/LWR is reduced by CD averaging method of multiple exposure shots. *) T. Kamo, et al., Effect of mask absorber thickness on printability in EUV lithography with high resolution resist, Proc. SPIE 7 () EUVL Symposium (October 19 ~ 1, 9)

How to Improve LER/LWR of Printed Image? Improvement of resist and mask process Resist: SSR3, Mask: Conv. (3 : ~.nm) Resist: SMR3, Mask: Conv. (3 : ~5.nm) Resist: SMR3, Mask: Improved (3 : ~.nm) 3nm L/S printed image Resist Improvement Mask Improvement CD averaging method of multiple exposure shots to extract systematic component from printed pattern with LWR by reducing random components Mask Pattern Printed Image CD Ave. Shot1 Shot Shot3 Shot Shot5 Shot Shot7 EUVL Symposium (October 19 ~ 1, 9) CD averaging 5

Experimental Condition Blanks/Masks Blanks structure : LR-TaBN(51nm) / CrN buffer(nm) / Si cap (11nm) / M.L. pairs (Mo/Si) / substrate Mask defect evaluation: Mask CD-SEM NGR (NGR) SFET Experiment Exposure condition: Resist : Resist CD evaluation: NA=.3 (central obscuration: 3%), sigma (inner/outer)=.3/.7, Incident angle=deg, Magnification=1/5 Selete Model Resist 3 (nm thickness) S93II (HITACHI High-Technologies) Lithography Simulation Simulator : Exposure Condition : Pattern : EM-Suite TM (Panoramic Technology Inc.) NA=.3(Central Obscuration : 3%), sigma (inner /outer)=.3/.7, Incident angle=deg, Magnification=1/5, =13.5nm, No lens aberration, No flare, Resist blur model (sigma=9nm) 3nm L/S with program isolated/edge defect (Parallel to EUV light projection) EUVL Symposium (October 19 ~ 1, 9)

Definition of Defect Size and Pattern Orientation Mask (Design) Mask (Measured) Wafer (Measured) Averaged CD a Min. a Mask SEM image is flipped to the same direction as wafer SEM image. Defect Size (Design) = a Square shape programmed mask defect for simulation and design CD at programmed defect EUV Light Defect Size = S (S: Area) EUVL Symposium (October 19 ~ 1, 9) 7

Gauge of LWR, Printed CD at Programmed Defect Raw data 1 plot: Ave. of 1pixes (1.1nm Length) LWR at No Programmed Defect 3 =5.nm 3 =.5nm LWR value depends on parameters of CD analysis. Printed CD at Programmed Defect -3 - - 3 Average of 1pixels (1.1nm length) is too large to measure accurate CD at programmed defect. 5-5 - 5-3 - - 3-5 - EUVL Symposium (October 19 ~ 1, 9)

Mask and Printed Image at No Programmed Defect Mask Pattern Printed Image CD Shot1 Shot Shot3 Shot Shot5 Shot Shot7 Ave. 5.5nm.nm 5.5nm.nm 5.nm.5nm.9nm 5.7nm 7.nm.nm 5.5nm.1nm 5.nm.nm.7nm.3nm EUVL Symposium (October 19 ~ 1, 9) 9 Line - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5.3nm.3nm 5.1nm.1nm5.1nm.nm5.nm.nm5.nm.nm.9nm.1nm5.nm.nm.nm 1.5nm Space - - 1 13 1 15 1 17 1 19 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1 13 1 15 1 17 1 19 - - - - LWR (raw data) LWR (1plot: 1.1nm Length) LWR of 1 shot: Systematic LWR, Random1 shot LWR (raw data) LWR (1plot: 1.1nm Length) LWR of CD averaging: Systematic, Random1 shot / N (N: 7shots) Systematic component of printed LWR of 1shot is smaller than random component and is estimated to be less than % of 3HP.

Mask and Printed Image at Programmed Defect Edge Opaque Edge Clear EUVL Symposium (October 19 ~ 1, 9)

Mask and Printed Image at Programmed Defect Edge Opaque Edge Clear EUVL Symposium (October 19 ~ 1, 9) 11

Mask and Printed Image at Programmed Defect Isolated Opaque Isolated Clear EUVL Symposium (October 19 ~ 1, 9)

Printed CD vs Programmed Mask Defect Size Isolated Edge Space Width [nm] Space Width [nm] 3 3 3 3 1 1 1 3 3 3 3 1 1 1 Opaque Simulation Measured 1 Defect Size (1x) [nm] Sim. (Defect: Simulation 1nm t) Sim. (Defect: 3nm t) Sim. (Defect: Measured nm t) Sim. (Defect: nm t) Measured 1 Defect Size (1x) [nm] Except isolated opaque defect, good agreement is achieved between measured and simulated results. Reduction of isolated opaque defect height is possibly the reason of difference between measured and simulated results. EUVL Symposium (October 19 ~ 1, 9) 13 Line Width [nm] Line Width [nm] 3 3 3 3 1 1 1 3 3 3 3 1 1 1 Clear Simulation Measured 1 Defect Size (1x) [nm] Simulation Measured 1 Defect Size (1x) [nm]

Summary With conventional experimental procedure, it is difficult to measure precise critical defect size because printed pattern s line-edge/width roughness (LER/LWR) is larger than the CD tolerance of 3nm HP and beyond. In order to reduce systematic LER/LWR, mask process is improved. In order to reduce random LER/LWR, low LER resist material and CD averaging method of multiple exposure shots is introduced. Systematic component of printed LWR is smaller than random component and is estimated to be less than % of 3nm HP. Except isolated opaque defect, good agreement is achieved between measured and simulated results. Reduction of isolated opaque defect height is possibly the reason of difference between measured and simulated results. EUVL Symposium (October 19 ~ 1, 9) 1

Acknowledgements The authors would like to thank; Kosuke Takai, Kazuki Hagihara, Koji Murano, Masamitsu Ito of TOSHIBA Corporation for mask fabrication. Eiji Yamanaka of TOSHIBA Corporation for support of programmed mask defect measurement. This work was supported by NEDO. EUVL Symposium (October 19 ~ 1, 9) 15