Overlay accuracy a metal layer study

Similar documents
Managing Within Budget

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

ABSTRACT (100 WORDS) 1. INTRODUCTION

Reducing Proximity Effects in Optical Lithography

Sensors and Metrology - 2 Optical Microscopy and Overlay Measurements

Improved scanner matching using Scanner Fleet Manager (SFM)

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Design, Characteristics and Performance of Diamond Pad Conditioners

Registration performance on EUV masks using high-resolution registration metrology

16nm with 193nm Immersion Lithography and Double Exposure

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Optimizing FinFET Structures with Design-based Metrology

Correlation of Wafer Backside Defects to Photolithography Hot Spots Using Advanced Macro Inspection

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Process Optimization

In-line focus monitoring and fast determination of best focus using scatterometry

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

i- Line Photoresist Development: Replacement Evaluation of OiR

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Optolith 2D Lithography Simulator

Lithography. Taking Sides to Optimize Wafer Surface Uniformity. Backside Inspection Applications In Lithography

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Market and technology trends in advanced packaging

Inline Control of an Ultra Low-k ILD layer using Broadband Spectroscopic Ellipsometry

Optical Proximity Effects

Improving registration metrology by correlation methods based on alias-free image simulation

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

nanovea.com PROFILOMETERS 3D Non Contact Metrology

OPC Rectification of Random Space Patterns in 193nm Lithography

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

An Evaluation of Artifact Calibration in the 5700A Multifunction Calibrator

Optical Microlithography XXVIII

MICRO AND NANOPROCESSING TECHNOLOGIES

Process and Environmental Variation Impacts on ASIC Timing

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

6.777J/2.372J Design and Fabrication of Microelectromechanical Devices Spring Term Massachusetts Institute of Technology

Perpendicular Media - Metrology and Inspection Challenges. Sri Venkataram KLA-Tencor Corporation Sept 19, 2007

Feature-level Compensation & Control

Etch, Deposition, and Metrology Options for Cost-Effective Thin-Film Bulk Acoustic Resonator (FBAR) Production

Design Rules for Silicon Photonics Prototyping

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

A process for, and optical performance of, a low cost Wire Grid Polarizer

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

DOE Project: Resist Characterization

Nano-structured superconducting single-photon detector

AEROSOL JET PRINTING SYSTEM FOR HIGH SPEED, NON-CONTACT FRONT SIDE METALLIZATION OF SILICON SOLAR CELLS

Photolithography I ( Part 1 )

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

1. INTRODUCTION 2. SCATTEROMETRY BASICS ABSTRACT

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

What s So Hard About Lithography?

A Study of Slanted-Edge MTF Stability and Repeatability

Characterization of e-beam induced resist slimming using etched feature measurements.

Line End Shortening, part 2

Precision. A Vision for. Weaving Innovation. Orthopaedic Instruments Break Tradition. OrthoTecOnline.com PREMIERE ISSUE

Overlay Metrology Results on Leading Edge Cu Processes

Copyright 2000 Society of Photo Instrumentation Engineers.

SEMATECH Defect Printability Studies

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Part 5-1: Lithography

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Microlens formation using heavily dyed photoresist in a single step

optical and photoresist effects

Photolithography Technology and Application

Impact of etch factor on characteristic impedance, crosstalk and board density

Critical Dimension Sample Planning for 300 mm Wafer Fabs

Isolator-Free 840-nm Broadband SLEDs for High-Resolution OCT

Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy

Progress in full field EUV lithography program at IMEC

Viewing Asperity Behavior Under the Wafer. During Chemical Mechanical Polishing

Apply multiple target for advanced gate ADI critical dimension measurement by scatterometry technology

A BASIC EXPERIMENTAL STUDY OF CAST FILM EXTRUSION PROCESS FOR FABRICATION OF PLASTIC MICROLENS ARRAY DEVICE

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

DIY fabrication of microstructures by projection photolithography

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

(Ar [ Si O Si O] m )n

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Optical Proximity Effects, part 2

Dimensional Variations in Tire Tread Extrusions Starrett-Bytewise Measurement Systems May 24, 2013 Abstract

The Design and Realization of Basic nmos Digital Devices

1. INTRODUCTION ABSTRACT

Ultra-thin Die Characterization for Stack-die Packaging

Process Variability and the SUPERAID7 Approach

size (the programmed size of the undeformed ball).

Specifying and Measuring Nanometer Surface Properties. Alson E. Hatheway

Optical Proximity Effects, part 3

Major Fabrication Steps in MOS Process Flow

A Project Report Submitted to the Faculty of the Graduate School of the University of Minnesota By

Static Power and the Importance of Realistic Junction Temperature Analysis

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

Transcription:

Overlay accuracy a metal layer study Andrew Habermas 1, Brad Ferguson 1, Joel Seligson 2, Elyakim Kassel 2, Pavel Izikson 2 1 Cypress Semiconductor, 2401 East 86 th St, Bloomington, MN 55425, USA 2 KLA-Tencor, P.O.B. 143, Migdal HaEmek 23100, Israel ABSTRACT While overlay precision has received much focus in the past, overlay accuracy has become more significant with shrinking process budgets. One component of accuracy is the difference between pre-etch (DI) and post-etch (FI) overlay, which is a function of wafer processing parameters. We investigated a specific case of overlay between metal and contact layers of a 6 µm SRAM process. This layer was chosen because a significant amount of wafer contraction was observed between DI and FI, resulting in as much as 30nm of DI-FI overlay difference. The purpose of the study was to characterize the systematic DI-FI differences and gain understanding of the wafer processing parameters (i.e. CMP, metal deposition, metal etch) that affect the DI-FI differences. A designed experiment showed how certain overlay mark widths were less sensitive to processing parameters. AFM profiles of the prior-level overlay marks identified issues with mark widths 1.0um or smaller. By performing localized etches on the inner vs. outer marks of the overlay targets, it was noted that the majority of the wafer contraction was induced by etching the outer (prior level) mark. Production measurements at photo and etch showed the wafer contraction to be fairly stable over a month timeframe and independent of device and exposure tool, though large fluctuation shifts in wafer contraction were noted over a nine-month period. The methods used in this study can be helpful in understanding other DI-FI processing issues. Keywords: overlay, metal, photo-etch correlation, expansion 1. INTRODUCTION At a manufacturing fab, problems were encountered in measuring overlay at a metal layer; photo overlay measurements were 20-100% higher (using mean+3σ metrics) than measurements of the same wafers after etch. Four studies were done to address this problem; the first three were intended to characterize the problem, the final was designed to test a solution for enabling photo overlay measurements. In the first study, a design-of-experiment was launched to learn how the systematic differences between FI and DI overlay measurements depended on processing parameters {overpolishing time, metal thickness, overetch time and etch bias power}. The second study characterized the mark profiles (before and after etch) to look for physical clues of the mechanism. In the third study, a two-part etch technique was developed to distinguish whether the FI-DI contraction was due to the change in the prior-level mark during etch or the change in the current-level mark during etch. In the last study, photo and etch measurements were taken on nine production lots over a month to test the stability of the FI-DI effects over a short-term timescale and note any dependencies on exposure tool and product device.

2. EXPERIMENTAL 2.1 Processing parameter DOE A design of experiment (DOE) was used to identify the systematic FI-DI errors and estimate how sensitive these errors were to processing parameters. A 2 4-1 half factorial was designed with the following input parameter modulations: metal CMP polish time (± 15%), metal deposition thickness (± 20%), metal overetch time (± 40%), and metal etch bias power (± 20%). The output parameters evaluated were the expansions and rotation differences measured between etch and photo. Overlay measurements were taken at both photo and etch on a KLA5300 for four different marks the mask set used in these studies had outer mark (prior level) widths of {0.5, 1.0, 1.5 and 2.0um}. 2.2 Mark width profiles In the next part of the study, AFM profiles were taken on the four outer mark (prior level) widths to characterize the dimensional changes during etch. Profiles were measured at both photo and etch with a Veeco D9000 AFM system. 2.3 Localized mark etching It was noted that the FI-DI systematic shifts could possibly be caused by either the transfer of current-layer resist marks into metal or the clearing of metal over the previous-layer marks. A process was designed to separate these mechanisms (see Figure 1). Step a illustrates the previous layer mark as seen entering into the metal photo step. A pad of resist is placed to protect the metal where the inner box (current layer marks) will be patterned (Step b). A metal etch step (Step c) opens the outer box marks (previous layer), and the inner box is then patterned onto the island of metal (Step d). Overlay measurements are then taken on these half DI / half FI marks. The wafer is etched again to transfer the current layer photoresist marks into the metal (Step e), and overlay measurements are again taken. Process correction deltas between Steps d and e are ideally only due to the transfer of the inner current-layer marks from resist to metal. Fig. 1: Localized mark etching process (left), top-down views (Step b = upper right, Step d = lower right) Three wafers were processed for this experiment. Wafer 1 was a control and received standard processing (no localized etching). Wafer 2 received the localized etch process, with the minimum etch time needed to clear out the metal over the outer marks (25s). Wafer 3 received a longer localized etch (50s) to determine if double-etching the outer marks was itself causing expansion effects. 2.4 Production lot measurements Over the course of one month, overlay was measured both at photo and etch on nine production lots with wafer sorting prior to each measurement. These lots were processed on two photolithography clusters (exposure tools) and consisted of two different production devices. Published overlay results use the mean+3σ metric. In comparing the DI and FI results, two important questions were asked. First, How consistent are the expansion / contraction deltas between photo and etch? To answer this question, the FI-DI expansion delta histograms were generated in JMP, checking for any exposure tool or device dependencies. Second, If we correct the photo data (adding negative expansion vectors to the photo raw data), how well do the photo and etch overlay results correlate? In this case, X and Y expansions of ppm were added to the raw photo data to simulate this process. The variation between etch and corrected photo results was used to generate FI-DI precision values for X and Y. P/T (precision-to-tolerance) scores were calculated to gauge the measurement errors of such a corrected-photo overlay metrology scheme.

3. RESULTS AND DISCUSSION 3.1 Processing parameter DOE results The main motivation for the DOE was to choose the overlay mark width least affected by these FI-DI effects. Two criteria were defined to evaluate the magnitude of the FI-DI effects. Photo measurements could be easily implemented in manufacturing without the need for data manipulation if a mark was found with close to zero FI-DI effects. Therefore, the first evaluation criterion was to minimize FI-DI differences for each DOE output (i.e. FI-DI expansion shift in X). The second (more critical) criterion was minimized FI-DI variability while the processing parameters in a manufacturing environment may change, the metrology should be robust over this variation. A secondary motivation for the DOE was to identify the mechanism(s) causing / affecting the FI-DI effects. While it seems unlikely that a manufacturing facility would, for example, change its metal properties to facilitate photo overlay measurements, there are situations where either current process tweaks are possible or the learning can be applied to future process development. The DOE intercepts and sensitivities were calculated for the FI-DI expansions and rotations. The smallest mark width (0.5um) was barely visible optically and was not evaluated. It was noted that the rotation effects were a factor of three smaller than the expansion effects, so the focus of the evaluation was given to the expansion errors. The average etch-photo expansion differences for the three evaluated mark widths are shown in Figure 2. A trend was noted, that larger mark widths corresponded with smaller FI-DI expansion effects. This trend was surprising because a previous study had shown the opposite trend (larger mark widths cause larger FI-DI scaling errors). 1 The expansion effects from the best mark (2.0um) were unfortunately still significantly non-zero (0ppm or 10nm for a 200mm wafer), meaning that measurement of these photo marks in a manufacturing environment would still require real-time calculations for expansion corrections. Expansion (ppm) 0-5 0 5 0 5 1.0um 1.5um 2.0um Exp X Exp Y Fig. 2: DOE FI-DI average expansion deltas Estimates of the FI-DI worst-case expansion variation for each of these three marks were calculated as follows. First, the magnitude of each sensitivity was scaled by a ratio of each parameter s fab control limits vs. DOE windowed amount. Next, the RSS of these scaled sensitivities was used as a worst-case estimate. Figure 3 compares these worst-case estimates for the three mark widths. The best of these marks (1.5um) shows only 13ppm or 1.3nm of worst-case variation; this variation is very small compared to the overlay tolerance for the layer, and appears the best mark choice for the subsequent production lot repeatability study. Worst-Case Exp. Variation (ppm) 70 60 50 40 30 20 10 00 Exp X Exp Y 1.0um 1.5um 2.0um Fig. 3: DOE FI-DI worst-case expansion variation Additional processing insight was realized by examining the sensitivity of each processing parameter. Longer CMP times were found to cause greater amounts of FI-DI wafer contraction, possibly due to an asymmetric metal gouging between wafer center and edge. Thicker metal and longer metal etches also caused more wafer contraction. Note, however, that these two effects may in fact be intimately related, since thicker metal requires longer times to etch through.

3.2 Mark width profile results Four outer mark (prior-level) marks were evaluated: 0.5, 1.0, 1.5 and 2.0µm. AFM profiles of each of these outer marks were collected before and after etch, as shown in Figures 4 a - d. Previous Layer Mark (After ) Previous Layer Mark (After ) -1.00-0.75-0.50 5 0 5 0.50 0.75 1.00-1.00-0.75-0.50 5 0 5 0.50 0.75 1.00 Fig. 4a: AFM profiles of 0.5µm outer overlay mark Figure 4b: AFM profiles of 1.0µm outer overlay mark Previous Layer Mark (After ) -1.00-0.75-0.50 5 0 5 0.50 0.75 1.00 Previous Layer Mark (After ) -1.00-0.75-0.50 5 0 5 0.50 0.75 1.00 Fig. 4c: AFM profiles of 1.5µm outer overlay mark Fig. 4d: AFM profiles of 2.0µm outer overlay mark The 0.5µm mark width was quickly ruled out from evaluation because it was barely visible after metal deposition. AFM profiles of this mark (Figure 2a) show only 2um of topography even before the metal deposition, an order of magnitude less than the other three wider marks in the study. For the remaining three mark widths, it was noted that the mark width shrinks by 0.5um during the metal deposition. This mark width shrinkage had a significant effect on the 1.0um mark (Figure 4b), causing the number of mark edges to change from two (prior to metal deposition) to one (after metal deposition). While the AFM profile traces showed the physical dimension changes in the outer mark(s) during etch, attempts to note center-to-edge differences were unsuccessful and did not offer insight into possible causes of the FI-DI wafer contractions. It is likely that center-to-edge profile differences are much smaller than this technique can resolve.

3.3 Localized mark etching results Table 1 summarizes the expansion deltas between photo and etch. Note that any FI-DI expansion effects observed in wafers 2 and 3 are primarily due to inner mark (current layer) shifts during etch. Wafer Outer Mark Process? Outer Mark Time FI - DI X Exp FI - DI Y Exp 1 No (Control) N/A 5 ppm 2 ppm 2 Yes 25s -4 ppm -3 ppm 3 Yes 50s -4 ppm -5 ppm Table 1: FI-DI expansions with localized mark etching It should first be noted that the experimental control (wafer 1) showed the same amount of apparent wafer contraction observed in production (0 to 5ppm). No significant difference can be seen between the contractions of wafers 2 and 3, indicating that increases in the etch time of the outer marks (as is done in the outer mark etch process by performing two etches) does not appear to itself influence wafer contraction. These two points offer confidence to the validity of the experiment. Wafer 1 shows roughly a factor of three more FI-DI contraction than both wafers 2 and 3. The expansion deltas for wafers 2 and 3 (-4ppm) should only be caused from the etching of the inner overlay mark; these inner-mark etching results are much smaller than the inner-plus-outer-mark etching results from wafer 1. Thus, the outer-mark etching process (center-of-gravity shifting) appears to be the main culprit behind the FI-DI contractions. The inner mark shift during etch appears to contribute ~30% of the FI-DI contraction, while the outer mark shift contributes ~70%. It should be noted that a previous study s findings were counter to these results in the previous study, the etching of the inner marks were found to cause ~100% of the FI-DI expansion for the metal layer. 1 3.4 Production lot measurement results A one-month study of production measurements at photo and etch was done using the 1.5um mark width (chosen in Section 3.1). The distributions of FI-DI expansions from these nine lots are shown to the right in Figure 5. The FI-DI contractions averaged 0ppm and ranged from 3 to 1ppm. No statistical significance was noted when comparing the two devices and two exposure tools used on these nine lots. Fig. 5: Production lot FI-DI expansion distributions (left = X, right = Y) The overlay results from these lots, as measured both at photo and etch, are shown below in Figures 6a & 6b. X Overlay Photo (Uncorrected) Y Overlay Photo (Uncorrected) Fig. 6a: X overlay (uncorrected photo and etch) Fig. 6b: Y overlay (uncorrected photo and etch)

Note that for 17 of the 18 overlay comparisons above in Figures 6a & 6b, the photo overlay metric was worse than etch data. The raw photo overlay data was then corrected by adding a ppm expansion to both X and Y and compared to the corresponding etch data, as shown in Figures 7a & 7b. X Overlay Y Overlay Photo (Corrected) Photo (Corrected) Fig. 7a: X overlay (corrected photo and etch) Fig. 7b: Y overlay (corrected photo and etch) Note that the overall agreement between the corrected photo and etch results (Figures 7a,b) is better than the raw photo and etch results (Figures 6a,b). The question can then be asked, Is this corrected-photo / etch agreement good enough? The traditional gauge of a measurement s acceptable error is the precision/tolerance (P/T) ratio. Traditionally, an acceptable amount for the total overlay metrology noise is 0 x spec, although the tolerance for a single overlay tool metrology error is tighter at 0 x spec. The 3 sigma values (precision) of the photo-etch overlay deltas were compared on these nine lots to the overlay spec limit for this layer; these P/T scores were calculated to be 2 and 3 for X and Y respectively. In terms of traditional metrology error limits, these P/T scores are too high (leading to the conclusion that the photo measurements are too noisy and should not be trusted / used in production). However, Cypress was willing in principle to accept and use the photo metrology because of the added ability to rework failures at photo. The photo measurements would need to be used in conjunction with a real-time system capable of adding systematic process corrections to the overlay data prior to overlay metric pass/fail analysis. The one-month study showed relatively consistent wafer contraction (0ppm). The DOE study predicted this consistency, since the worst-case variation values were very small compared to the overlay spec tolerance for the layer. However, a very large shift in expansions was noted over the course of nine months; while the one-month study showed FI-DI contractions of 0ppm, the FI-DI contractions nine months earlier averaged 0ppm. This shift in FI-DI contractions was noted in two Cypress 8 fabs, though the mechanism leading to this long-term shift could not be identified. This large long-term shift (0ppm) was an order of magnitude greater than the worst-case-shift predicted from the DOE study (13ppm), indicating the primary processing knob affecting the long-term FI-DI variability was not captured in the study.

4. CONCLUSION Several studies were done to characterize and fix a DI/FI overlay correlation issue. A DOE study was used to determine which overlay mark width was least sensitive to processing parameter variation. AFM profiles of the prior-level overlay marks identified potential measurement issues with mark widths 1.0um or smaller. By performing localized etches on the inner vs. outer marks of the overlay targets, it was noted that the majority of wafer contraction was related to the etching of the outer (prior level) mark. Photo and etch production measurements showed consistent contraction over a onemonth timeframe, but shifted dramatically over a nine-month timeframe, indicating an uncharacterized processing effect. ACKNOWLEDGEMENTS The authors would like to thank Ivan Ivanov for discussions and metal film processing support, Tom Snodgrass for etch processing support, Andrey Zagrebelny for CMP processing support, Helena Stadniychuk for AFM support, and Anat Ronen for overlay measurement support. REFERENCES 1. D. Kim, S. Oh, G. Yeo, Y. Bae, J. Kim, Y. Kim, Proc. SPIE, Vol. 4344, pp. 667-672, 2001.