Optimization of the Spatial Properties of Illumination for Improved Lithographic Response

Similar documents
The Formation of an Aerial Image, part 2

The Formation of an Aerial Image, part 3

Phase Contrast Lithography

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

Using the Normalized Image Log-Slope, part 2

Reducing Proximity Effects in Optical Lithography

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Horizontal-Vertical (H-V) Bias

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Optical Proximity Effects

optical and photoresist effects

Optical Proximity Effects, part 3

Optical Proximity Effects, part 2

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

More on the Mask Error Enhancement Factor

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Understanding focus effects in submicrometer optical lithography: a review

What s So Hard About Lithography?

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

DOING PHYSICS WITH MATLAB COMPUTATIONAL OPTICS. GUI Simulation Diffraction: Focused Beams and Resolution for a lens system

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Resolution and DOF improvement through the use of square-shaped illumination

Depth of Focus, part 2

16nm with 193nm Immersion Lithography and Double Exposure

Synthesis of projection lithography for low k1 via interferometry

Chapters 1 & 2. Definitions and applications Conceptual basis of photogrammetric processing

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography

Geometric optics & aberrations

Optical Projection Printing and Modeling

Performance Factors. Technical Assistance. Fundamental Optics

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Comparison of Scalar and Vector Diffraction Modelling for Deep-UV Lithography

Key Photolithographic Outputs

Lecture 8. Lecture 8. r 1

EE-527: MicroFabrication

Extending SMO into the lens pupil domain

Understanding Focus Effects in Submicron Optical Lithography, Part 3: Methods for Depth-of-Focus Improvement

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

DOING PHYSICS WITH MATLAB COMPUTATIONAL OPTICS RAYLEIGH-SOMMERFELD DIFFRACTION INTEGRAL OF THE FIRST KIND CIRCULAR APERTURES

GEOMETRICAL OPTICS Practical 1. Part I. BASIC ELEMENTS AND METHODS FOR CHARACTERIZATION OF OPTICAL SYSTEMS

Be aware that there is no universal notation for the various quantities.

Speed and Image Brightness uniformity of telecentric lenses

Optolith 2D Lithography Simulator

Optical transfer function shaping and depth of focus by using a phase only filter

Why is There a Black Dot when Defocus = 1λ?

Physics 3340 Spring Fourier Optics

1. INTRODUCTION ABSTRACT

Radial Polarization Converter With LC Driver USER MANUAL

Exposure schedule for multiplexing holograms in photopolymer films

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Criteria for Optical Systems: Optical Path Difference How do we determine the quality of a lens system? Several criteria used in optical design

Opto Engineering S.r.l.

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Mirrors and Lenses. Images can be formed by reflection from mirrors. Images can be formed by refraction through lenses.

Mirror-based pattern generation for maskless lithography

Flare compensation in EUV lithography

Opti 415/515. Introduction to Optical Systems. Copyright 2009, William P. Kuhn

Far field intensity distributions of an OMEGA laser beam were measured with

MICROCHIP MANUFACTURING by S. Wolf

Diffraction. Interference with more than 2 beams. Diffraction gratings. Diffraction by an aperture. Diffraction of a laser beam

Modulation Transfer Function

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

APPLICATIONS FOR TELECENTRIC LIGHTING

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin

High Resolution Microlithography Applications of Deep-UV Excimer Lasers

Purpose: Explain the top advanced issues and concepts in

E X P E R I M E N T 12

Chapter 18 Optical Elements

Single Slit Diffraction

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

AP Physics Problems -- Waves and Light

P202/219 Laboratory IUPUI Physics Department THIN LENSES

Chapter Ray and Wave Optics

Tangents. The f-stops here. Shedding some light on the f-number. by Marcus R. Hatch and David E. Stoltzmann

IMAGE SENSOR SOLUTIONS. KAC-96-1/5" Lens Kit. KODAK KAC-96-1/5" Lens Kit. for use with the KODAK CMOS Image Sensors. November 2004 Revision 2

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

CREATING ROUND AND SQUARE FLATTOP LASER SPOTS IN MICROPROCESSING SYSTEMS WITH SCANNING OPTICS Paper M305

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

Telephoto axicon ABSTRACT

1.6 Beam Wander vs. Image Jitter

Reflection! Reflection and Virtual Image!

PROCEEDINGS OF SPIE. Measurement of low-order aberrations with an autostigmatic microscope

Modulation Transfer Function

Topography effects and wave aberrations in advanced PSM-technology

OPC Rectification of Random Space Patterns in 193nm Lithography

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Line End Shortening, part 2

Resolution. [from the New Merriam-Webster Dictionary, 1989 ed.]:

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Notes on the VPPEM electron optics

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

The optical analysis of the proposed Schmidt camera design.

Microscope anatomy, image formation and resolution

Binocular and Scope Performance 57. Diffraction Effects

OPTICAL SYSTEMS OBJECTIVES

CHAPTER 1 Optical Aberrations

On spatial resolution

Depth of Focus and the Alternating Phase Shift Mask

Transcription:

Optimization of the Spatial Properties of llumination for mproved Lithographic Response Chris A. Mack FNLE Technologies, Austin, TX 78716 Abstract Using computer simulations of the lithographic process, the effects of illuminator modifications are studied. Both symmetric (e.g., annular) and asymmetric (e.g., quadrupole) illumination are discussed and annular illumination is examined in detail. The most significant trade-off in the use of these illuminators is that by optimizing the illumination for one feature size and type, other features may be degraded. Mask linearity also worsens and ceases to be a reliable metric for lithographic quality. The benefit is improved depth-offocus at the feature size for which the illumination was optimized. These trade-offs are studied in detail and general recommendations for a design approach for the use of different illumination schemes are made.. ntroduction Advanced illuminator concepts in optical lithography have recently come under great scrutiny as a method of improving resolution and depth-of-focus. Although the idea that oblique illumination can improve resolution is not new [1], it has only recently been studied for use in lithography [2,3]. By allowing the shape of the illumination system to be a variable, a new degree of freedom is added to the designers of projection lithography systems for optimization of performance. f the shape of the illumination were under the control of the user, the illumination could be optimized for a given feature or features to be printed. Using computer simulations of the lithographic process, the effects of illuminator modifications are studied in this papçr. Both symmetric (e.g., annular) and asymmetric (e.g., quadrupole) illumination are discussed and annular illumination is examined in detail. The most significant trade-off in the use of these illuminators is that by optimizing the illumination for one feature size and type, other features may be degraded. Mask linearity also worsens and ceases to be a reliable metric for lithographic quality. The benefit is improved depth-of-focus at the feature size for which the illumination was optimized. These trade-offs are studied in detail and general recommendations for a design approach for the use of different illumination schemes are made. Other factors such as proximity effects and aberrations must also be considered when using off-axis illumination. 0-8194-1 161-2/93/$6.00 SPE Vol. 1927 Optical/Laser Microlithography Vi (1993) / 125

U. maging Fundamentals The basic principle which governs the behavior of an imaging system is diffraction. Diffraction describes the propagation of light and can include the effects of various boundaries (such as the chrome edges of a mask). Diffraction of light by a lithographic mask can be described by Fraunhofer diffraction and the Fraunhofer diffraction integral is essentially identical to the Fourier Transform integral. Thus, the diffiaction pattern of a mask is the Fourier transform of the mask pattern. Given a mask in the x-y plane described by its electric field transmission m(x,y), the electric field M as it enters the objective lens (the f-y' plane) is given by M(f, f) {m(x,y)} where the symbol J' represents the Fourier transform and f, and f, are the spatial frequencies and are simply scaled coordinates in the x'-y' plane (see Goodman [4] for a good text on Fourier Optics). n general, the diffiaction pattern extends throughout the x'-y' plane. However, the objective lens, being only of finite size, cannot collect all of the light in the diffiaction pattern. Typically, lenses used in microlithography are circularly symmetric and the entrance to the objective lens can be thought of as a circular aperture. Only those portions of the mask diffraction pattern which fall inside the aperture of the objective lens go on to form the image. Of course, we can describe the size of the lens aperture by its radius, but a more common and useful description is to define the maximum angle of diffracted light which can enter the lens. Given a lens of a certain size placed a certain distance from the mask, there is some maximum angle of diffraction, a, which just lets the diffracted light make it into the lens. Light emerging from the mask at larger angles misses the lens and is not used in forming the image. The most convenient way to describe the size of the lens aperture is by its numerical aperture, defined as the sine of the maximum half-angle of diffracted light which can enter the lens times the index of refraction of the surrounding medium. n our case, all of the lenses are in air and the numerical aperture is given by NA sina. (Note that the spatial frequency is the sine of the diffracted angle divided by the wavelength oflight. Thus, the maximum spatial frequency which can enter the objective lens is given by NA/X.) Obviously, the numerical aperture is going to be quite important. A large numerical aperture means that a larger portion of the diffraction pattern is captured by the objective lens. For a small numerical aperture, much more of the diffracted light is lost. We can examine the relationship between NA and the diffraction pattern by graphing the diffraction pattern along with a picture of the aperture. Figure la shows quite readily which portions of the diffraction pattern enter the lens (in this case, for a series of equal lines and spaces of pitch p). Note that periodic features result in discrete diffraction orders, or bright spots of light (pictured as arrows, the height of the arrow being proportional to the magnitude of the electric field). 126 SPE Vol. 1927 Optical/Laser Microlithography V (1993)

tttttttttttttt \\\\\\\\\\\\\ () ojnljody (q) (0) afl!d J 041 OOJJO ( Ajju.uou JO uoi1urunjji oju uo :uonnjosoi 2U0p!OU! UO!1URUfljj! JOJ ainoj ozis ojoq 041 uoilnjosal '1!w!j (q 041 oths oinoj uo oq powi qpit s!x-jjo 'uo!u!wnhj! pu (o u!uop2ojq Jo 041 UO!PJJJip SJpJO USfl U?J JO up!ou! SOjU 3d /Ofl LZ6L J?Se7/i3dO AqdeJoq1joJ.y%/ j,' (661)/ LZL

So far, we have assumed that the mask is illuminated by spatially coherent light. Coherent illumination means simply that the light striking the mask arrives from only one direction. We have ftirther assumed that the coherent illumination on the mask is normally incident. The result was a diffraction pattern which was centered in the entrance to the objective lens (Figure 1 a). What would happen ifwe changed the direction ofthe illumination so that the light struck the mask at some angle 0? As shown in Figure ib, the effect is simply to shift the diffraction pattern with respect to the lens aperture (in terms of spatial frequency, the amount shifted is sin0/?). Recalling that only the portion of the diffiaction pattern passing through the lens aperture is used to form the image, it is quite apparent that this shift in the position ofthe diffraction pattern can have a profound effect on the resulting image. f the illumination of the mask is composed of light coming from a range of angles rather than just one angle, the illumination is called partially coherent. f one angle of illumination causes a shift in the diffraction pattern, a range of angles will cause a range of shifts, resulting in broadened diffraction orders, as seen in Figure ic. One can characterize the range of angles used for the illumination in several ways, but the most common is the partial coherence factor, (also called the degree of partial coherence or the pupil filling function or just the partial coherence). The partial coherence is defined as the sine of the half-angle of the illumination cone divided by the objective lens numerical aperture. t is thus a measure of the angular range of the illumination relative to the angular acceptance of the lens. Finally, if the range of angles striking the mask extends from -90 to 90 (that is, all possible angles), the illumination is said to be incoherent.. Off-Axis llumination The illumination schemes described above assume a very simple shape for the light source: a uniform circle which results in a uniform cone of light striking the mask. Of course, many other shapes are possible, as shown in Figure 2. Annular illumination, in which the central portion of the cone of light is blocked, was first proposed by Mack [2] and Fehrs, et al. [3]. Quadrupole illumination, which replaces a single circularly symmetric disk of light with four disks at right angles to each other, was proposed by Noguchi and coworkers at Canon [5], Shiraishi and coworkers at Nikon [6], and Tounai and coworkers at NEC [7]. All of these schemes have been called off-axis illumination, although the term is somewhat of a misnomer. As we have seen, conventional partially coherent illumination includes a range of angles of incidence on the mask, both on-axis (normally incident) and off-axis (obliquely incident) illumination. Thus, the use of the term off-axis illumination to describe these new techniques is intended to mean an illumination scheme without any on-axis components. There are two major benefits of using off-axis illumination: resolution enhancement and depthof-focus improvement. To understand how illumination angle might impact resolution, consider the case ofprinting high resolution equal line/space patterns with coherent illumination that is either on-axis or off-axis. Figure 3a shows the on-axis case where the size of the features are small enough that the two first diffraction orders (to either side of the central zero order) cannot make it into the objective lens. For this case, no image would be formed and one would say that the feature is below the resolution limit of the projection system. Now consider the same feature and the same lens, but simply 128 SPE Vol. 1927 Optical/Laser Microlithography V (1993)

change the angle of the illumination striking the mask. As shown in Figure 3b, a properly chosen illumination angle will allow the zero order and one of the two first orders to pass through the aperture of the objective lens. Thus, this same feature will form an image for the off-axis illumination case, resulting in improved resolution for the projection system. n fact, the theoretical resolution can be extended down to O.25)JNA using the proper off-axis illumination. S. w Conventional Annular Quadrupole Figure 2. Examples of various illumination shapes. The outer dark circle represents the objective lens aperture, the inner gray shapes are the illumination. \\\\\\\\\\\\\ (a) Figure 3. The effect of illumination angle on resolution: a) normally incident illumination for a feature size below the resolution limit, and b) the same feature can be imaged with off-axis illumination. (b) SPE Vol. 1927 Optical/Laser Microlithography V (1993) / 129

Although resolution enhancement as described above may seem like sufficient justification to pursue the use of off-axis illumination, it is the impact of illumination angle on depth-of-focus which provides the more important benefits. Defocus causes a phase error for each diffraction order which is proportional to the square ofthe radial position within the pupil. When the higher diffraction orders are out of phase relative to the zero order, the diffraction orders do not add properly when combining to form the aerial image, resulting in reduced image quality. For the case of on-axis illumination (Figure la), the zero order is in the center of the aperture and thus will undergo no phase error due to defocus. the first orders, however, will have a phase error proportional to the square of their radial distance from the center of the aperture. The result will be a phase difference between the first and the zero orders, resulting in image quality degradation. Now consider the case of off-axis illumination where the size of the feature and the angle of incidence of the illumination are properly matched such that the zero order and one..of the first orders are exactly the same distance from the center of the pupil (Figure 3b). Thus, even though the orders will still have phase errors due to defocus, the errors will be the same and the relative phase difference between the zero and the first order will be zero. Such a situation will produce an image which is relatively immune to defocus, resulting in significant improvement in depth-of-focus (DOF). Note, however, that one would expect that the in focus performance for such a situation would be reduced since only one ofthe first diffraction orders is being used to form the image. Under what conditions will depth-of-focus be improved? The separation between the zero and first diffiaction order is determined by the pitch of the line/space pattern (a smaller pitch results in a greater amount of diffraction and a wider separation between orders). n fact, relative to the radius of the objective lens aperture, the distance between diffraction orders is given by 2JpNA. Thus, the zero order would have to be shifted by one half of this amount to give a maximum DOF. For an incident angle ofillumination ofo, this requirement is expressed as sino A NA2pNA () Note that the angle ofillumination can be adjusted to give maximum DOF only at one value ofthe pitch. The ratio sine/na is a "partial coherence like" term, and is often said to be the angle of incidence of the illumination expressed inpartial coherence space. With this in mind, let us define a simplifying variable for the illumination angle in partial coherence space as sin 0 NA (2) The "best case" feature size for a given angle of illumination to obtain maximum DOF is given by equation (1). An assumption in deriving this equation was that only one of the two first diffraction orders enters the objective lens. Thus, equation (1) gives the "best case" DOF only if 130 / SPE Vol. 1927 OpEical/Laser Microlithography V (1993)

1 o;< ' (3) pna Note that in order for both equations 1 and 3 to be satisfied for any given pitch, omust be greater than one third. n fact, if the second of the two first diffraction orders does enter the lens, the result will be a significant decrease in DOF. This results from a wider range ofphase errors since this first order will be near the edge ofthe aperture. A "worst case" scenario for DOF is obtained when 1% 1 a= 1 (4) pna The above analysis describes the benefits of off-axis illumination in terms of DOF improvement, but also points out its major drawback. f the angle of illumination is chosen to maximize the depth-offocus for one particular pitch (by satisfying equation 1), then there will always be some larger pitch for which the DOF is reduced (by satisfying equation 4). Off-axis illumination improves the performance of small features at the expense of reduced performance for larger features. The best case pitch and the worst case pitch can be related by combining equations 1 and 4. (2a p worstcase = Pbest case 1 (5) v. Designing an llumination System Designing an illumination system to take advantage of off-axis illumination effects should proceed in four distinct steps. First, the above equations can be used to give a first-order design for a given set of requirements. n the discussion which follows, we will assume an annular illumination system on an i-line stepper with a numerical aperture of 0.52. Further, let us assume that our goal is to maximize the performance of 0.35 tm patterns. The first order design equations tell us that we must use off-axis illumination with o = 0.50 to maximize the DOF of equal line/space patterns. Further, equation (5) tells us that our worst case features would be 0.7 tm lines and spaces. Form the perspective of annular illumination, we require that the center of the ring of illumination (that is, half way between the inner and outer radii) must be at o = 0.50. nterestingly, the first-order design tells us nothing about how to set the width ofthe annulus (the distance between inner and outer radii). n fact, our analysis in the preceding section assumed a very thin ring (i.e., only one angle of incidence). Using the first-order design as a starting place, the second step is to verify and improve the design by simulating aerial images. A convenient method for assessing the quality of an aerial image is with the log-slope defocus curve [8]. The normalized log-slope (NLS) of an aerial image is proportional to the exposure latitude of the resulting printed image and is defined as SPE Vol. 1927 Optical/Laser Microlithography V (1993) / 13 1

NLS=wôtfh (6) t9x nominal line edge where w is the nominal feature width, is the aerial image intensity, and x is the horizontal coordinate perpendicular to the feature orientation. A plot of the NLS versus defocus gives an indication of how the resulting printed feature exposure latitude will fall off with defocus. As an example, Figure 4a compares log-slope defocus curves for conventional and annular illuminators (high NLS means a better quality image). The annular illuminator uses the first-order design given above with an annulus width of 0. 1. As can be seen, the behavior is exactly as expected. The performance of the annular illumination system is worse than the conventional illuminator in focus, but is significantly better when out of focus by more than about 0.4.tm. The log-slope defocus curve can also be used to investigate the worst case feature size. As expected from the first-order design, 0.7 pm lines and spaces perform worse for all values of defocus with annular illumination compared to conventional illumination, as seen in Figure 4b. Figure 5 shows that the annular illumination has a similar effect on isolated lines. The third step in the design is to use simulation to predict focus-exposure performance, mask linearity, proximity effects, and other metrics of lithographic quality. For example, Figure 6a shows mask linearity plots (simulated with PROLTHJ2) for 0.35 pm line/space patterns in and out of focus for conventional illumination. The central 45 line represents ideal linearity and the two lines to either side indicates deviation from this ideal. As can be seen, the in focus case shows linear performance down to 0.4 tm features (and almost 0.35 p.m). The 0.8 p.m defocus case shows that the linearity is somewhat reduced for all features and the 0.4 pm features have just gone out of specification. Figure 6b shows the results for annular illumination optimized for 0.35 tm features. Note that the linearity of the smallest features is essentially the same as for conventional illumination. However, note that the 0.7.tm features have gone out of linearity, indicating that these features have less depth-of-focus than the smaller features! Figure 6 points out one of the problems of off-axis illumination. To see the benefits, Figure 7 shows the resulting focus-exposure process windows for conventional and annular illumination for O.35j.tm lines and spaces. n focus, the two illuminators show about the same exposure latitude. However, when out of focus the annular illuminator shows far less isofocal bias (the upward bending of the process window) and greater exposure latitude. As a result, the annular illumination case will show significantly greater DOF. The final step in any design is experimental characterization. Armed with the information obtained from the first order design and the modeling efforts, experiments can be carried out to verify the models and to look for anomalous behavior. Of course, the final test is to insert the off-axis technology into a test lot of actual product or product-like devices to verify its behavior in a "real-life" situation. 132/ SPE Vol. 1927 Optical/Laser Microlithography V (1993)

Normalized Log-Slope Normalized Log-Slope Defocus (microns) (a) Defocus (microns) (b) Figure 4. Comparison of annular and conventional illumination for a) 0.35 p.m lines and spaces, and b) 0.7 p.m lines and spaces with i-line, NA = 0.52. The conventional illuminator uses = 0.5, and the annular illuminator has a center c of 0.5 with a width of 0.1. Normalized Log-Slope Defocus (microns) 2.00 Figure 5. Comparison of annular and conventional illumination for 0.35 p.m isolated lines with i-line, NA = 0.52. The conventional illuminator uses c = 0.5, and the annular illuminator has a center of 0.5 with a width of 0.1. SPE Vol. 1927 Optical/Laser Microlithography V (1993) / 133

Resist Linewidth (microns) Resist Linewidth (microns) Mask Linewidth (microns) (a) 10 Mask Linewidth (microns) (b) Figure 6. Comparison of mask linearity for a) conventional illumination and b) annular illumination for equal lines and spaces with i4ine, NA = 0.52. The conventional illuminator uses = 0.5, and the annular illuminator has a center c of 0.5 with a width of 0.1. (Simulated with PROLTHJ2.) Percent Exposure Variation Percent Exposure Variation 400 400 20.0 20.0 0.0-20.0-20.0.ià' -40 Focal losition (microns) -1.20 40 80-1.20 (a) -.80-40 00 40.80 Focal Position (microns) (b) Figure 7. Comparison of the focus-exposure process windows for a) conventional illumination and b) annular illumination for 0.35 im equal lines and spaces with i-line, NA = 0.52. The conventional illuminator uses c = 0.5, and th annular illuminator has a center of 0.5 with a width ofo. 1. Contours are for linewidth specifications. (Simulated with PROLTH2.) 134 SPE Vol. 1927 Optical/Laser Microlithography Vi (1993)

V. Other ssues in Off-Axis llumination There are many other issues of both theoretical and practical importance that must be considered when designing and implementing an off-axis illumination scheme. For example, simply adding a stop to change the shape of the illumination has two detrimental effects. First, illumination intensity is reduced resulting in increased exposure times. Further, illumination uniformity is usually degraded. Future systems will undoubtedly be designed with off-axis illumination in mind and, presumably, these issues will be addressed. A more subtle and potentially more significant effect is the increase in image placement error and other aberrations with changes in illumination source shape [9]. n general, a lens is optimized to give a minimum image placement error with a specific illumination shape. Changes in illumination shape will change the area of the pupil that is being "sampled" by the light. Thus, the aberration balance is also changed, most likely for the worse. Future systems must accept various illumination shapes as a design constraint and insure that the lenses are capable of giving adequate (and well characterized) performance for various illumination schemes. Another significant issue for off-axis illumination is proximity effects. The discussion above indicated that the use of off-axis illumination produces significant feature size effects. Proximity effects are also exaggerated by off-axis illumination, especially for non-symmetric illumination schemes such as quadrupole [1 0]. n fact, unless these effects can be adequately controlled or compensated for, proximity effects may significantly hinder the use of quadrupole illumination. V. Conclusions Off-axis illumination offers the hope of extending current optical lithography processes by giving more depth-of-focus to the smallest features. However, there are several prices to be paid for this improvement. First, one feature is improved at the expense of others. Thus, off-axis illumination will necessarily impact the design of integrated circuits by creating a design rule which tags some feature sizes as "undesirable." Proximity effects are also worsened using off-axis illumination, accentuating the existing need for geometry-dependent mask biasing. Finally, the first generation of steppers converted to off-axis use by means of an illumination stop will eventually be replaced by systems designed with variable illumination in mind, so that aberrations and illumination intensity and uniformity can be kept at close to current or improved levels. References 1. G. W. Stroke, An ntroduction to Coherent Optics and Holography, 2nd edition, Academic Press (New York: 1969) p. 77. 2. C. A. Mack, "Optimum Stepper Performance Through mage Manipulation," KT Microelectronics Seminar, Proc., (1989) pp. 209-215. SPE Vol. 1927 Optical/Laser Microlichography Vi (1993) / 135

3. D. L. Fehrs, H. B. Lovering, and R. T. Scruton, "lluminator Modification of an Optical Aligner," KTlMicroelectronics Seminar, Proc., (1989) pp. 217-230. 4. J. w. Goodman, ntroduction to Fourier Optics, McGraw-Hill (New York, 1968), pp. 145-146. 5. M. Noguchi, M. Muraki, Y. wasaki and A. Suzuki, "Subhalf Micron Lithography System with Phase-Shifting Effect," Optical/Laser Microlithography V, Proc., SPE Vol. 1674 (1992) pp. 92-104. 6. N. Shiraishi, S. Hirukawa, Y. Takeuchi and N. Magome, "New maging Technique for 64M- DRAM," Optical/LaserMicrolithography V, Proc., SPE Vol. 1674 (1992) pp. 74 1-752. 7. K. Tounai, H. Tanabe, H. Nozue and K. Kasama, "Resolution mprovement with Annular llumination," Optical/LaserMicrolithography V, Proc., SPE Vol. 1674 (1992) pp. 753-764. 8. C. A. Mack, "Algorithm for Optimizing Stepper Performance Through mage Manipulation," Optical/Laser Microlithography, Proc., SPE Vol. 1264 (1990) pp. 71-82. 9. J. E. Connors, T. M. Kos, R. C. Pack, and B. W. Smith, "Advanced Lithography Simulation Tools for Development and Analysis of Wide-Field High NA Projection Optical Systems," Optical/Laser Microlithography Vi, Proc., SPE Vol. 1927 (1993). 10. P. Luehrmann, et a!., "0.35 micron Lithography Using Off-Axis llumination," Optical/Laser Microlithography Vi, Proc., SPE Vol. 1927 (1993). 136 / SPE Vol. 1927 Optical/Laser Microlithography V (1993)