EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview

Similar documents
2009 International Workshop on EUV Lithography

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

Critical Challenges of EUV Mask Blank Volume Production

Comparison of actinic and non-actinic inspection of programmed defect masks

SEMATECH Defect Printability Studies

EUV Lithography Transition from Research to Commercialization

Mask Technology Development in Extreme-Ultraviolet Lithography

Lithography Industry Collaborations

Challenges of EUV masks and preliminary evaluation

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

EUV Substrate and Blank Inspection

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Optics for EUV Lithography

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Progress in full field EUV lithography program at IMEC

ISMI 450mm Transition Program

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Carl Zeiss SMT. ACTOP 2008: Presentation Carl Zeiss Laser Optics. H. Thiess. LO-GOO Oct. 9, 2008

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

EUVL getting ready for volume introduction

Focusing X-ray beams below 50 nm using bent multilayers. O. Hignette Optics group. European Synchrotron Radiation Facility (FRANCE) Outline

Breakout Session 3: Mirror Update. 2007/4/ /22 Peter M. Stefan LCLS Facility Advisory Committee (FAC) Meeting

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

ISMI Industry Productivity Driver

EUV projection optics and active mirror development at SAGEM

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Recent Development Activities on EUVL at ASET

Photolithography Technology and Application

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Holistic View of Lithography for Double Patterning. Skip Miller ASML

EUV Multilayer Fabrication

Diffractive optical elements and their potential role in high efficiency illuminators

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Enabling Areal Density Growth

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Status of EUVL Multilayer Optics Deposition at RIT

EUV Interference Lithography in NewSUBARU

200mm and 300mm Test Patterned Wafers for Bonding Process Applications SKW ASSOCIATES, INC.

(Complementary E-Beam Lithography)

ISMI 450mm Transition Program

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Collector development with IR suppression and EUVL optics refurbishment at RIT

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Lithography on the Edge

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

Chapter 3 Fabrication

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Mask magnification at the 45-nm node and beyond

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Optolith 2D Lithography Simulator

Scope and Limit of Lithography to the End of Moore s Law

Mobile Electrostatic Carrier (MEC) evaluation for a GaAs wafer backside manufacturing process

Säntis 300 Full wafer cathodoluminescence control up to 300 mm diameter

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

MAPPER: High throughput Maskless Lithography

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Fabricating 2.5D, 3D, 5.5D Devices

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

A process for, and optical performance of, a low cost Wire Grid Polarizer

Energy beam processing and the drive for ultra precision manufacturing

Aspheric Lenses. Contact us for a Stock or Custom Quote Today! Edmund Optics BROCHURE

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

Advanced Patterning Techniques for 22nm HP and beyond

Dark Field Technologies In-Situ Defect Detection Practical Considerations and Results

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Litho Metrology. Program

State-of-the-art device fabrication techniques

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Registration performance on EUV masks using high-resolution registration metrology

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Optical Bus for Intra and Inter-chip Optical Interconnects

Advanced Packaging Lithography and Inspection Solutions for Next Generation FOWLP-FOPLP Processing

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

16nm with 193nm Immersion Lithography and Double Exposure

Flare compensation in EUV lithography

DIY fabrication of microstructures by projection photolithography

Transcription:

EUV Mask Flatness & Carrier/Loadport Workshop October 19 th 2006 - Barcelona Spain EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview Phil Seidel, Chris Van Peski Stefan Wurm (512) 356-3732 phil.seidel@sematech.org Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and ISMI are servicemarks of SEMATECH, Inc. SEMATECH, the SEMATECH logo, Advanced Technology Development Facility, ATDF, and the ATDF logo are registered servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.

2 EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview Acknowledgements Chris Van Peski SEMATECH Gil Vandentrop Intel Obert Wood AMD Stefan Wurm Qimonda/SEMATECH Chiew-seng Koay IBM

Outline: EUV Mask Flatness Overview EUV Mask Related Flatness Specifications EUV substrate requirements SEMI P37-1102 & 2006 ITRS EUV mask film requirements SEMI P38-1103 EUV mask chucking requirements SEMI P40-1103 Issues & Concerns Related to EUV Mask & Mask Materials Flatness EUV substrate flatness vs. low order thickness variation and backside slope requirements EUV mask blank flatness EUV patterned mask flatness & mask usage EUV Mask Material Manufacturing Cost Considerations Summary 3

EUV Mask Flatness Overview 4 EUV mask reflective technology will require maintaining reticle flatness control to within expectable limits EUV mask image focal plane tolerances must be maintained when exposed within the EUV scanners Image placement control at wafer exposure plane depends on multiple mask related factors. some of which are Mask image placement control [conventional mask making] Pattern writer (e-beam) image placement control Mask pattern and etching control (including pattern film stress release) Pattern placement metrology accuracy EUV mask materials thickness and flatness impacts [EUV mask specific] EUV substrate resulting flatness, thickness control, and local slopes EUV film stack thickness and stress control EUV scanner and EUV mask process chucking control

EUV Mask Flatness Overview 5 Absorber Buffer Patterned Absorbers ~ 70 nm thick (e.g. Al, Cr, TaN, W) Buffer Layer ~ 20 nm thick (e.g. SiO 2, Ru, Cr) Cap Layer 11 nm thick (Si, Ru) φ 1 6 o Multilayer Low thermal expansion substrate TEM courtesy of AMD Reflective Multilayers ~ 300 nm thick (Mo - Si = 13.5nm) 40-50 Pairs LTEM Sub. OPD Image Placement Error δx = M d tan(θ) θ d

6 EUV Mask Flatness Overview EUV development community had identified that significant improvements were needed in mask material flatness vs. current optical blanks to meet EUV needs Industry agreement was to define the substrate flatness Sub-50 nm P-V flatness variation accepted as requirements for 45 nm HP mfg. P37-1102 05 ITRS 32 nm HP requires substrates at < 32 nm P-V; 22 nm HP requires < 23 nm P-V Additional substrate and blank specifications must be met simultaneously Specification 45nm HP 32nm HP 22nm HP Comments Mainstream insertion (ITRS 05) 2010 2013 2016 ~ Beta full field readiness 2007 08 2010 11 2013 14 Based on pilot line & mask roadmap timelines/needs LTEM mean CTE (ppb/oc) 0 + 5 0 + 5 0 + 5 P37-1102 Substrate FS & BS flatness (nm P-V) 50 32 23 Substrate roughness (nm rms) 0.15 0.15 0.15 Substrate defect size (nm PSL) 35 30 25 Peak reflectivity (% Abs) 66 67 67 Final chucked mask focal deviation over 142mm aperture mini-max algorithm (wedge remove) Based on maintaining >64% EUV peak refl.; optical defect insp. may need lower HSFR Substrate High Local Slope (mrad 3s) 1.80 1.80 (?) 1.80 (?) SEMI Draft 4047 (AFM based calc.) Gullikson criter. Substrate Low Local Slope (mrad 3s) 1.50 1.50 (?) 1.50 (?) SEMI Draft 4047 (based on traditional MSFR calculations WLI; no data yet) Gullikson criteria Native defect size based on smoothing during ML deposition; empirical printability data needed Current integrated best blanks 64%; initial commercial demo at 68% w/ no integrated perf. Peak reflectivity unif. (% abs. 3s) 0.47 0.33 0.23 Current high accuracy B.L. reflectometer ~0.2% Centroid λ uniformity (nm 3s) 0.06 0.05 0.04 high accuracy reflectometer ~ 0.006nm or +0.02% Total ML defect size (nm PSL) 36 26 18 Based on ~0.25NA exposure tools Substrate defect density (def/cm2) 0.003 0.003 0.003 ~60% yield driver over 142mm aperture QA

EUV Mask Flatness Overview SEMATECH has been evaluating and monitoring commercial EUV mask materials in both individual and integrated metric performance Suppliers have shown very good improvements over 4 years Champion substrate flatness at 50 nm P-V (Schott Lithotec Q4 05) Champion substrate flatness below 40 nm P-V (AGC BACUS Q3 06) Total integrated performance with reasonable yield is needed in HVM UPDATE 09/2006 Year Year 2003 20032004 2004 2005 2005 2006 2006 2007 2007 Production Q3 Q3 06 02 Half Half H1 H2 H1 H1 H2 H2 H1 H1 H2 H1 H2 H2 H1 H1 H2 H2 H1 H1 H2 H2 32nm 45nm Parameter Parameter Pre-a Pre-a Pre-a alpha Pre-aalpha alpha beta alpha beta beta beta beta beta beta beta beta beta gamma Mask Material Mask Substrate LTEM LTEM LTEM LTEM LTEM LTEM LTEM LTEM LTEM LTEM LTEM Material Mean CTE (+ ppb/ deg K) 30 30 LTEM25 LTEM 25 LTEM LTEM 20 LTEM 20 LTEM 15 LTEM15 LTEM 10 LTEM LTEM 10 LTEM + 5 Mean CTE Spatial CTE Var. (+ (+ ppb/ deg K TIR) K) 10 10 30 10 30 10 25 825 20 8 207 15 7 15 7 10 710 6 + 5 CTE Flatness Spatial Front (nm) Variation (P V) (+ ppb/ deg 600 K TIR) 600 10 500 10 400 10 300 10 250 8 8200 8 100 6 75 6 50 6 326 Flatness Back Front (nm) (P V) (nm) (P V) 1000 800 600800 600 600500 500 400 300 400 300 300 200 200 200 100 100 50 50 3250 Flatness Surface Finish Back (nm) (P V) 1000 1000 800 800 600 500 400 300 200 100 50 Maximum MSFR (nm rms) Wedge Angle (μradians) < 2.0 < 2.0 200 < 1.5 200 < 1.0200 < 1.0 200 150 N.A. 150 N.A. 150 N.A. 150 N.A. 100 N.A. 100 N.A. 100 Surface HSFR (nm rms) Finish 0.25 0.25 0.25 0.20 0.20 0.20 0.20 0.15 0.15 0.15 < 0.15 MSFR High Local (nm Slope rms) front sur.(mrad 3s) N.A. N.A. < 2.0 < 5.0 < 2.0 < 5.0 < 1.5 < < 4.01.0 < 1.0 4.0 N.A. < 3.5 N.A. < 3.0 N.A. < 2.5 N.A. < 2.0 N.A. < N.A. 1.8 HSFR Total Blank (nm Defects rms) 0.25 0.25 0.25 0.20 0.20 0.20 0.20 0.15 0.15 0.15 < 0.15 Local Total ML Slope Defect Density of Front (def/cm Surface 2 ) (mrad) 2.0 1.0 N.A. 0.8 N.A. 0.6 < 2.0 0.4 < 2.0 < 0.20 1.5 < 1.5 0.12 < 1.50.08 < 1.5 0.03 < 1.0 0.01 < 1.0 0.003 < 1.0 Total Cut-off Size Blank (PSL Defects equivalent, nm) 150 150 120 120 90 90 60 60 40 40 25 Total Multilayer ML Performance Defect Density (defects/cm 2 ) 2.0 1.0 0.8 0.6 0.4 0.20 0.12 0.08 0.03 0.01 0.003 Cut-off Peak Reflectivity Size (PSL (%) equivalent, nm) >60 >60 150 >62 150 >62 120 >63 120 >64 90 90 >65 60 >65 60 >66 40 >66 40 > 67 25 Multilayer Peak Reflectivity Performance Unif. (%P-V) Abs. 0.80 0.80 0.70 0.60 0.60 0.55 0.55 0.50 0.50 0.50 0.33 Peak Median Central Reflectivity λ of Reflectivity (%) (nm) 13.40 13.50 >58 13.50 >58 13.50 >60 13.50 >60 13.50 >62 >62 13.50 >64 13.50 >64 13.50 >66 13.50 >66 TBD > 67 Peak Median Central Reflectivity λ Offset Unif. (nm) (%P-V) Absol. + 0.12 + 0.10 0.80 + 0.080.80 + 0.08 0.70 + 0.07 0.60 + 0.60 0.07 0.55 + 0.07 0.55 + 0.07 0.50 + 0.06 0.50 + 0.06 0.50 < + 0.50 0.06 Mean Median Reflected Centroid λ Unif. λ of (nm Reflectivity P-V) (nm) 0.08 0.08 13.40.08 13.50 0.08 13.50 0.07 13.50 13.50 0.07 13.50 0.07 13.50.07 13.500.06 13.50 0.06 13.50 0.05 TBD Centroid Reflected λ Uniformity (nm P-V) 0.08 0.08 0.08 0.08 0.07 0.07 0.07 0.07 0.06 0.06 0.06 Supplier Performance Capability (Q3 02) 3 suppliers w/ good yield 2 suppliers w/ good yield New tooling/process needed 1 supplier w/ good yield Upgraded tool & process Meet w/ upgraded tooling & process New tool/process needed Tool & process innovation New Tooling & Process innovation needed 7

Substrate Surface Improvements (Flatness & HSFR) - Multiple Suppliers - Date of delivered & evaluated materials 2H 05 1H 05 2H 04 1H 04 2H 03 Surface Roughness HSFR (nm rms) Good industry improvements Three specs simultaneously Delivery range variation reduced However 2x 4x FS & BS flatness improvement needed for 32 nm HP Frontside Flatness (nm P-V) Backside Flatness (nm P-V) P37-1102 Integrated Performance 8

P37-1102 Defines Substrate Needs Based on ITRS 45 nm HP; 05 ITRS Table 78 defines Through 2022 152.0 ± 0.1 mm 142 mm 142 mm Defect quality area: 0 defects > 50 nm 0 def > 32 nm PSL (45 nm HP) PSL (32nm HP) Edge region flatness: <1000 nm P-V 6.35 ± 0.1 mm Backside flatness quality area: 30-100 nm P-V flatness HSFR <0.50 nm rms; λ spatial < 10 μm 0 defects > 1 μm SEMI standard P37-1102 Flatness quality area: 30-100 nm P-V flatness 50 nm LOTV λ spatial > 152 mm HSFR <0.15 nm rms; λ spatial < 10 μm Local slope < 1mrad; 100 mm > λ spatial > 400 nm Substrate material: CTE 0 + 5 ppb/ ºK at 22±3ºC Gullikson Local Criteria Proposal High Local Slope < 1.8mrad (3s) Low Local Slope < 1.5mrad (3s) 9

P38-1103: Mask Absorber and Multilayers Lists all parameters of the mask blank coatings that user and supplier need to define for mask performance, including patterning requirements Standard allows for many parameters to be negotiated between user and supplier, thus allowing for innovation in material choice Allows for capping layers, conductive films, absorber layers, etc. Standard lists requirements for mask absorbers and multilayers through the 22 nm half-pitch ITRS node Additional P38 Specs that influence flatness condition Specification 22nm HP Comments Mainstream insertion (ITRS 05) ~ Beta full field readiness Absorber Stack Stress Stress change (50 B pulses) Backside Sheet Resistance Film Thickness Uniformity 2016 2013 14 +200 MPa < 50 MPa <100 Ohms/Square Supplier/Cust omer Pilot line & mask timelines/needs Freestanding global warp Freestanding global warp & ip change E-chuck conductivity Unpatterned mask blank Patterned mask Absorber stack Multilayer stack Resist Absorber layer(s) Buffer layer Capping layer(s) Multilayers Underlayer(s) Substrate Conductive layer 10

Rationale for Chucking Standard: P40-1103 Standard for chucking in Pattern generator (e-beam or optical) Pattern placement metrology tool Exposure tool Without compensation, large pattern placement errors relative to 45 nm node requirements will occur. Compensation for these errors will be difficult. Residual error from calculated compensations remains due to errors in measurement of deformation Compensation methods would need to measure the shape of both sides of the mask and predict the position of front surface points after clamping on a flat chuck Provides for further reduction of overlay error terms: Reduces placement error term due to stress in absorber stack and due to stress relaxation of multilayer stack Reduces impact of backside flatness errors on pattern placement LOTV requirement remains but errors could be compensated by height mapping on standard mount 11

P40-1103: Mounting (Chucking) Standard Three rules adopted for mounting Chuck flatness (~50 nm P-V) Clamping pressure (15±1.5 KPa) Chuck stiffness (>30,000 N-m) Minimum pin spacing >10 mm Initial layout specified Pin sidewall angle θ Pin spacing, S p Pin period, P p Pin height, H p Chuck Area for patterns printed on wafer Maximum printable field (4x) 104 by 132 mm (26 by 33 mm at wafer) CL 66.0 76.0 Area reserved for alignment marks, ID marks, and handling (The position of these items are to be negotiated between user and supplier.) CL 52.0 76.0 12

13 EUV Substrate, Blank, and Mask Flatness Issues EUV Substrate Flatness vs. Low Order Thickness Variation and backside slope requirements P37-1102 implied free standing/simpler chucking (not e-chuck) FS & BS flatness profiles will not guarantee as chucked frontside flatness. LOTV + backside local slope variations will define frontside. Current deterministic figuring & polishing processes compete against maintaining lower substrate defect levels. Suggested flatness polynomial signatures or LOTV signatures extraction to relieve flatness may not be beneficial to blank mfg. Added overhead with metrology and polynomial fit algorithms Added complexity with attempting to match individual e-chuck signatures Added cost to mfg. if a larger inventory pool is created to cover most probable polynomial terms that would match individual customer chucks Specifying LOTV and having suppliers move to LOTV substrate processing will not guarantee higher yields ( no data to date )

Legendre Polynomial Definition Concept Flatness and Low Order Thickness Variation (LOTV) can be described in polynomials Lower order terms can be compensated through e-chucking Higher order terms describing higher spatial frequencies would not be chucked flat and need to be within figuring specifications Concept proposed as potential solution in P37-1102 appendix 14 Flatness Shape Low order Shape Higher order Shape = + Polynomial Term Magnitude Low order Polynomials (flattened by chuck) Higher order Polynomials (Supplier reduction)

Legendre Polynomial Definition Concept Legendre mode polynomial approach does not fully address as chucked frontside flatness The chucking clamping force and chuck flatness will also be a factor Poor chuck flatness, high surface local slope errors, and poor substrate LOTV matching add to mask as chucked flatness variation Low order thickness variation as well as backside local slope variation will help better define the chucked flatness 15 Good Substrate Case Poor Substrate Case Pre & post chucked flatness variations Pre & post chucked flatness variations E-chuck E-chuck

16 EUV Substrate, Blank, and Mask Flatness Issues EUV Mask Blank Flatness ML and absorber stack stress levels on average are ~500 MPa vs. spec. Newer lower stress film developments needed Global bow/warp due to film stack stresses 700 800 nm P-V EUV Patterned Mask Flatness & Mask Usage e-beam writers and mask inspection tool suppliers are not adopting P-40 (no ESC) and plan to use improved mechanical chucking systems e-beam suppliers concerned that e-chuck fields will impact writing e-beam writers are developing pattern placement correction using improved substrate deflection input SW (gravitational sag ++) Is there an optically flat e-chuck infrastructure available with capacity to support metrology and process tool suppliers? Will EUV patterned masks with associated LOTV and backside slope optimized for one e-chuck tool be usable in another? Will e-chuck signatures vary enough that one EUV reticle can only be used with one EUV scanner? (dedicated reticle sets)

EUV Substrate & Blank Cost Considerations 17 Process Flow Equipment Cost Throughput Yield 1 Consum. Use Cost # Name Description (hrs/blank) ($/substr.) 1 Stock boule / bulk acquisition LTE material $ 750 100% $ - 2 Sawing block Diamond saw $ 100,000 0.2 100% $ - 3 Rough Lapping $ 200,000 0.2 100% $ - 4 Grinding edges & chamfers $ 200,000 0.2 100% $ - 5 Fine Lapping $ 500,000 0.2 100% $ - 6 Interferometry - flatness PMI - coarse level $ 300,000 0.5 90% $ - 7 Metrology - wedge $ 100,000 0.2 90% $ - 8 First Polish 2-meter Double sided polisher $ 1,000,000 0.1 100% $ - 9 brush clean $ 250,000 0.1 100% $ - 10 second polish 2-meter Double sided polisher $ 1,000,000 0.1 100% $ - 11 cleaning Wet etch cleaning tool $ 1,000,000 0.25 100% $ - 12 LSFR - Metrology PMI - Fine level $ 500,000 0.5 90% $ - 13 MSFR - Metrology Phase measuring microscope $ 250,000 0.5 90% $ - 14 flatness correction Sub-aperture correction $ 1,000,000 2.5 100% $ - 15 cleaning Wet etch cleaning tool $ 1,000,000 0.25 100% $ - 16 LSFR - Metrology PMI - Fine level $ 500,000 0.5 90% $ - 17 MSFR - Metrology Phase measuring microscope $ 250,000 0.5 90% $ - 18 final polish 2-meter Double sided polisher $ 1,000,000 0.2 100% $ - 19 cleaning Wet etch cleaning tool $ 1,000,000 0.25 100% $ - 20 LSFR - Metrology PMI - Fine level $ 500,000 0.5 90% $ - 21 VHSFR - Metrology AFM $ 300,000 1 85% $ - 22 final cleaning Wet etch cleaning tool $ 1,000,000 0.25 100% $ - 23 IPA dry special clean hood $ 350,000 0.5 100% $ - 24 defect scan Optical laser inspeciton $ 7,000,000 2 95% $ - 25 M.L. deposition Ion Beam dep or other dep tool $ 8,000,000 4 100% $ - 26 Defect Inspection Optical laser inspeciton $ 7,000,000 2 50% $ - 27 Reflectivity measurements EUV Reflectometer $ 1,500,000 0.5 95% $ - 28 Buffer layer deposition PECVD $ 1,000,000 0.5 100% $ - 29 Absorber layer deposition Mag or other dep system $ 1,000,000 0.2 100% $ - 30 Absorber defect inspection Dark field inspection $ 1,200,000 0.2 98% $ - 31 Final flatness PMI - Fine level $ 500,000 0.5 95% $ - 32 Clean Post absorber dep cleaning tool $ 1,000,000 0.5 100% $ - 33 Ship Bench and computer $ 25,000 0.25 100% $ - 0.1 Raw material cost $750.00 Total Yield 17% Subtrate Yield 41% Interest Rate of Loaned $ 0.00% M.L. Dep Yield 42% # Engineers 6.5 Cost to Mfg. $11,000 Weekly blank volume required 100 7 SEMATECH blank model developed with supplier critiques Incoming raw LTEM 3X 4X cost of HPFS Substrate yields could be as low as blank yields Figure correction processes time consuming vs. traditional HVM

EUV Substrate Cost Considerations Yielded Substrate Cost to Manufacture ($) $42,500 $40,000 $37,500 $35,000 $32,500 $30,000 $27,500 $25,000 $22,500 $20,000 $17,500 $15,000 $12,500 $10,000 $7,500 $5,000 $2,500 $0 20% 25% 30% 35% 40% 45% 50% 55% 60% 65% 70% 75% 80% Substrate Defect Yield (%) 75% / 75% yield = $ 4.0k 50% / 50% yield = $ 7.8k 30% / 30% yield = $19.7k 85% 90% 95% 100% 100% 90% 80% 70% 60% 50% 40% 30% 18 20% Substrate Final Flatness Yield (%) $40,000 -$42,500 $37,500 -$40,000 $35,000 -$37,500 $32,500 -$35,000 $30,000 -$32,500 $27,500 -$30,000 $25,000 -$27,500 $22,500 -$25,000 $20,000 -$22,500 $17,500 -$20,000 $15,000 -$17,500 $12,500 -$15,000 $10,000 -$12,500 $7,500 -$10,000 $5,000 -$7,500 $2,500 -$5,000 $0 -$2,500

Potential EUV Mask Process Flow 19 Substrate polish Coating Patterning Inspection: Go-NoGo Inspection: Figure data Final Inspection: Figure and pattern placement data Compensation data real-time correction Statistical process control long term Electrostatic chucking Wafer Exposure Tool

Summary Continued improvement in EUV substrate flatness to meet 32 nm HP and below will be very challenging Meeting integrated performance with these flatness requirements will be difficult especially with defectivity levels Advanced processes (w/ sub-aperture figuring) is moving away from larger HVM optical blank processes at lower yields Mfg COO concern Transitioning to LOTV and backside local slope requirements is unknown Instituting flatness or LOTV signature and polynomial decomposition may be problematic due to varieties of actual e-chuck variations in the field Additional blank film stacks and stress levels will add global non flatness bows that dominate free standing flatness Will film stress levels vary over time due to usage How much global non-flatness can be tolerated and chucked flat Maintaining e-chuck protocols throughout mask life cycle will probably not be 100% adopted; therefore, flatness and IP variations not traceable How much IP correction can be provided with improved writer e-beam SW What will be the required input metrics needed to properly correct? A comprehensive flatness and IP strategy at substrate supplier through mask usage may be required 20