A New Era in Nanotechnology Research: The Industry-University-Government Cooperative Model

Similar documents
G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

The SEMATECH Model: Potential Applications to PV

Economic Impact of the Albany Cluster. Kenneth Adams President & CEO, Commissioner Empire State Development

Lithography Industry Collaborations

SUNY Poly in a New Era

Noel Technologies. Provider of Advanced Lithography and Semiconductor Thin Film Services

Growing the Semiconductor Industry in New York: Challenges and Opportunities

Semiconductor Industry Perspective

Extending The Life Of 200mm Fabs And The Re-use of Second Hand Tools

Beyond Moore the challenge for Europe

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Collaboration: The Semiconductor Industry s Path to Survival and Growth

ITRS Update (and the European situation) Mart Graef Delft University of Technology

A Presentation to the National Academies July 29, Larry W. Sumney President/CEO Semiconductor Research Corporation1

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Nanoelectronics Trends for the Next Decade

MAPPER: High throughput Maskless Lithography

Crossing The Chasm Between. the Next 40 Years. JUSTIN RATTNER Intel Senior Fellow, Vice President Intel Chief Technology Officer

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling

Changing the Approach to High Mask Costs

EU-Russia Meeting on R&D Collaboration Moscow, 25 September 2007

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

IBM Research - Zurich Research Laboratory

Beyond Immersion Patterning Enablers for the Next Decade

(Complementary E-Beam Lithography)

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016

Thermal Management in the 3D-SiP World of the Future

ASCENT Overview. European Nanoelectronics Infrastructure Access. MOS-AK Workshop, Infineon, Munich, 13 th March 2018.

Status and Perspectives of the European Semiconductor Industry. Andreas Wild

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Accelerating the next technology revolution

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

Market and technology trends in advanced packaging

Scaling of Semiconductor Integrated Circuits and EUV Lithography

HOW TO CONTINUE COST SCALING. Hans Lebon

National Projects on Semiconductor in NEDO

CITATION OF PRESIDENT S SCIENCE AND TECHNOLOGY MEDAL 2012 WINNER

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

IMI Labs Semiconductor Applications. June 20, 2016

Regional Innovation Ecosystems:

Technology & Manufacturing

ISMI Industry Productivity Driver

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

Innovation to Advance Moore s Law Requires Core Technology Revolution

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Innovation Economy. Creating the. Dr. G. Wayne Clough President, Georgia Institute of Technology

Lithography in our Connected World

Canada s National Design Network. Community Research Innovation Opportunity

Fabricating 2.5D, 3D, 5.5D Devices

The Center for Emerging and Innovative Sciences University of Rochester September 5, 2013

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

Accelerating Growth and Cost Reduction in the PV Industry

Competitive in Mainstream Products

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Nanotechnology, the infrastructure, and IBM s research projects

Application Interest Group (AIG) Process Overview. Dr. Robert C. Pfahl Director of Roadmapping

Enabling Breakthroughs In Technology

Litho Metrology. Program

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

The Development of the Semiconductor CVD and ALD Requirement

SEMI Connects: An Overview of SEMI Worldwide. Theresia Fasinski - Manager Membership Relations, SEMI Europe

2015 ITRS/RC Summer Meeting

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

Title: Expand with ROHM ROHM CO., LTD.

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI

Opportunities and Challenges for Nanoelectronic Devices and Processes

research in the fields of nanoelectronics

Advanced Materials Research Center and University Research. Alex Oscilowski Vice President-Strategy SEMATECH

International Center on Design for Nanotechnology Workshop August, 2006 Hangzhou, Zhejiang, P. R. China

Nanotechnology and its effect on Electronics Manufacturing

EUV Supporting Moore s Law

Developing Smart Miniaturized Solutions for Industry

IC Knowledge LLC, PO Box 20, Georgetown, MA Ph: (978) , Fx: (978)

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Framework Programme 7

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Semiconductor Technology Academic Research Center copyright STARC,2004

ICT Micro- and nanoelectronics technologies

Accelerating Collective Innovation: Investing in the Innovation Landscape

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

EUROSOI+- FP of 38 30/06/ FINAL PUBLISHABLE SUMMARY REPORT

Application-Based Opportunities for Reused Fab Lines

Limitations and Challenges to Meet Moore's Law

Triple i - The key to your success

Specialization in Microelectronics. Wang Qijie Nanyang Assistant Professor in EEE March 8, 2013

MEDIA RELEASE INSTITUTE OF MICROELECTRONICS KICKS OFF COPPER WIRE BONDING CONSORTIUM II TO TACKLE COPPER INTERCONNECTS RELIABILITY ISSUES

Michael P. Ridley, Director. NYSTAR High Performance Computing Program

2010 IRI Annual Meeting R&D in Transition

R&D Requirements from the 2004 inemi Roadmap. April 7, 2005 Dr. Robert C. Pfahl, Jr. VP of Operations, inemi

Intel Technology Journal

Organic Packaging Substrate Workshop Overview

Advancing MEMS R&D in materials, processes and devices to face major needs arising from the booming MEMS market

Addressing the Innovation Imperative

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

Holst Centre Wireless Autonomous Sensor Technologies & Flexible Electronics

3D ICs: Recent Advances in the Industry

Transcription:

A New Era in Nanotechnology Research: The Industry-University-Government Cooperative Model May 18, 2010 AVP Business Development, Alliances and Consortia Professor of Nanoengineering IBM Distinguished Engineer (ret.)

Performance Environment Consumer Electronics High Performance Computing Integrated SOC/SIP/SOP Straight Scaling Traditional CMOS Bipolar / BiCMOS DRAM Pervasive Computing New Materials SOI Low K, Air Gap High K Gates New Architectures 3-D Wafer Scale Integration Wireless, MEMs Optical Interconnects 70's 80's 90's 00's 10's Nanotechnology Post-CMOS switches Graphene, spintronics Nano-bio 20's Adapted from John Kelly III, Sr. VP. IBM at 2 nd Albany Symposium on Global NanoTechnology Lake George NY 2002

Keeping up with More Moore R&D Versus Revenue. A Real Crisis 10 6 M$ 10 5 10 4 10 3 Projected Annual Growth Revenue: 6.5% R&D/E: 12.2% Projected 10 2 10 Total Revenue Total R&D (Chip + Equip) 1 60 75 90 05 20 R&D cost rising much faster than revenue Tripling costs create elitism with very few haves and most have nots (VLSI Research 05)

Semiconductor Industry Trend - Clustering GlobalFoundries Intel Freescale IBM CNSE Sematech IMEC Fraunhofer, GF, Infineon Leti, STM, NXP NEC Toshiba SELETE, ASET TSMC Samsung GlobalFoundries Global R&D Competition Drives the Industry Clustering Effect Locations capable of leading-edge 300mm logic R&D: State government funded: CNSE, Federal (+ State) government funded: IMEC, Leti, Fraunhofer, Selete (Mirai), Industry proprietary: Intel, IBM JDA, Taiwan (TSMC/UMC), South-Korea (Samsung)

Nano-Fabrication Technology Drives the next Industrial Revolution More Moore: Miniaturization Baseline CMOS: CPU, Memory, Logic Wireless 130nm 90nm 65nm 45nm 32nm 22nm Integrated Passives More than Moore: Diversification Information Processing Digital content System-on-chip (SoC) Anal./Digit. Conversion HV Power Sensors Actuators Interacting with people and environment Non-digital content System-in-package (SiP) Combining SoC and SiP: Higher Value Systems Biochips fluidics Optoelec. Integration 50nm Prototype (IEDM2002) Opening the field to smaller players in cooperative models 15nm 25 nm 15nm Prototype (IEDM2001) 10nm Prototype (DRC 2003) EXPANDING EXPANDING Sensors FUNCTIONALITY Photonics Nano Wireless Biological Fluidics Mechanical (Source: 2005 ITRS) Frank Robertson, Intel Manager External Programs Albany Symposium, Lake George 2004

High Tech Education A U.S. National Economic Crisis U.S. High Tech Jobs (Millions) 13.4 4.7 92 02 12 14.4 5.8 16.0 6.8 All High Tech Industries High End Industries National Science Foundation: U.S. needs 2 million nanotech savvy workers by 2014. Is enough being done? Not by a long shot! Source: Federal Bureau of Labor Statistics

The CNSE Paradigm Integrated Set of Four Metrics for Success Cross Disciplinary Intellectual Assets State-of-the-art Infrastructure CN SE Leveraged Public- Private Partnerships Life Long Learning Educational Programs

CNSE Cross-Disciplinary Mission CNSE is dedicated to nanotechnology with constellations in: Nanoscience Nanoengineering Nanobioscience Nanoeconomics Vision Leverage combined resources to establish effective partnerships that will enable realization of industry technology roadmaps and pioneering nanoscale research. 5 m Pt SiO 2 Carbon Nanotube Nanoscale Science Nanoscale Engineering Nanobioscience 4 nm Nanoeconomics Mission Create a financially and technically competitive environment to empower the nanoelectronics industry with manufacturing advantages through vertically integrated partnerships.

Shared State-of-the-Art Facilities NanoFab 300 South $50M, 150K ft 2 32K Cleanroom Completed: 3/04 NanoFab 300 Central $50M, 100K ft 2 15K Cleanroom Completed: 1/09 NanoFab 300 North $175M, 228K ft 2 35K Cleanroom Completed: 12/05 800K ft 2 facilities 80K ft 2 300mm cleanrooms $5.5B investments 2500+ R&D jobs on site Expansion plans NanoFab 200 $16.5M, 70K ft 2 4K Cleanroom Completed: 6/97 NanoFab 300 East $100M, 250K ft 2 Completed: 3/09

State-of-the-Art Wafer Processing Capability Full complement of state-of-the-art 300mm wafer tools Designed for 32nm node & beyond but Unit process, module and full flow capability. Compatible with previous generations: 65nm industry-standard low-power process Capacity of 25 integrated wafer starts per day. 24/7 operation Capacity upgrades readily possible EUV Alpha Demo Tool 193 nm immersion scanner, NA 1.2

CNSE Alliance Model

SEMATECH s Role Lead industry-wide initiatives Set industry direction by building industry consensus and driving large industry projects Develop infrastructure and standards to bridge R&D to manufacturing New / improved tools, materials, processes Manufacturability is the driver for technical programs Drive manufacturing productivity and cost reduction Develop leading-edge technology Foundation for industry breakthroughs Next generation technologies ITRS Roadmap Next generation factories Materials and tool development Standards development Source: Sematech

SEMATECH Success Factors Commitment from top level executives, long-term support Industry and government champions Industry leadership Government-industry-university partnership A clear, pre-competitive mission Accelerate commercialization by addressing common challenges, per industry roadmap Building technology infrastructure Strengthening manufacturing base Broad representation of industry, broad network of partners Chipmakers and universities, national labs (Sandia, NIST), research institutes, equipment/materials manufacturers Leveraging of government and industry funds Member-driven organization Assignees Source: Sematech

Equipment Development Center @ CNSE CNSE Leading edge process technology World class lithography capability Integrated device builds Inline E-test data delivery State-of-the-art metrology / test Tools / base flows SPC controlled Proven track record of productivity and IP protection Leading partners IBM, Sematech Under discussion EUV Exposure Center High volume capability CNSE wafers EDC Equipment Suppliers Equipment development Demo capability on integrated wafers Access to world-class metrology Access to advanced materials A B C D E

IBM Joint Development Partnership Albany s Role IBM Semiconductor Technology Development Model Common Platform Manufacturing Model Packaging Fundamental Research Screen new materials & processes Patterning solutions High-k / metal gate Device structures Stress techniques Interconnects Ultra low-k Packaging IBM Almaden & Yorktown Advanced Semiconductor R&D Innovation in integrated device & process technology Equipment Applied Materials, ASML, Tokyo Electron Research IBM, GF, Toshiba, STMicro, Freescale Albany Nanotech Center Technology Development Multi-company co-located joint development Foundry bulk IBM, Chartered, Samsung, Infineon, Freescale, STMicro High perf. SOI IBM, GF, Freescale IBM East Fishkill Worldwide Manufacturing Process synchronized fabricators (GDSII compatible) Foundry bulk IBM, Chartered & Samsung High perf. SOI IBM & Chartered USA, Korea, Singapore Design Compatibility GDSII Compatibility Process and Manufacturing Compatibility Design center collaborators Reference design flows Libraries and IP Technology design kits Design manual SPICE models 90 nm, 65 nm, 45 nm, 32 nm process platforms Proprietary research and development Shared infrastructure Joint programs Source: IBM

CNSE Proof-of-Concept Chip Strategy VB300 E-beam Litho Minimum CD, 15 nm +/- 10% at 100 um field Libraries and IP Mask build Tape-out Enablement (kit) Models Characterization Groundrules, design manual Reliability Feature development Yield Management Integration OPC PFA Process Development Equipment suppliers Materials suppliers In-house / Partner License model Partner Partner Partner Buy / Build capability Build capability / Partner Build capability In-house In-house / Partner In-house / Partner In-house / Partner Partner In-house In-house / Partner Partner / In-house Partner / In-house Testbeds and Infrastructure Development Built on current and future open source masksets Multi-Project-Wafer (MPW) Concept university / SME opportunity Offering to start with 65nm industry standard bulk lowpower technology Radio-frequency (RF) enabled 32nm capability under development Personalization options Tech transfer support into volume manufacturing BEOL Test_ARRAY 1 MB SRAM Device Test Arrays

3D Integration The next step in subsystem integration The convergence of Silicon & Packaging Stepping stone for More than Moore Metrology Xray tomography (via voids) Core packaging capability 3Di w/ multi-wafer chip stack for 32 / 22 nm nodes Far-BEOL semiconductor processing & C4 bump Module bond, assembly & test Scanning Acoustic Microscopy Infrared Microscopy 3DI Process Flow Wafer Wafer Bonding Combine partner silicon and packaging R&D teams to focus and develop the 3D Unit Process and Integrated flow. Electron beam image Void free Cu filled TSVs Ion beam image Bond interface

System-Level Operational Model Traditional NT EMS MOP SIC F I E L D Virtual IDM Model IDM Model NT NT EMS EMS MOP MOP SIC SIC I N T E G R A T I O N NanoTech Co. s (NT) Equip. & Material Suppliers (EMS) MEMS, Opto, Power (MOP) System Integration Co. s (SIC)

SRC and Sematech CNSE Strategy SRC / NRIs Novel Materials Device Concepts / Architectures Metrology Development Reliability Mechanisms CNSE Faculty Novel Materials Metrology CoC NRI Participation / Leadership SEMATECH Infrastructure (Equipment, ESH) Metrology Tool Development Exploratory Devices Module Integration Early Reliability CNSE Engineering Process Development Integration and Derivatives Early Prototyping Metrology / FA Services 10/20 9 7 5 3 1 0 Years to Manufacturing Semiconductor Space 3D and Packaging Space

SRC Nanoelectronics Research Initiative Finding the Next Switch Mission: Demonstrate novel computing devices capable of replacing the CMOS FET as a logic switch in the 2020 timeframe Find devices that show significant advantage over ultimate FETs and enable the industry to extend the historical cost and performance trends of scaling Leveraging industry, university, and both state & fed government funds, and driving university nanoelectronics infrastructure Notre Dame Illinois-UC Michigan Cornell Purdue Penn State UT-Dallas GIT SUNY-Albany GIT Harvard Purdue RPI Columbia Caltech MIT NCSU Yale UVA Over 30 Universities in 20 States UC Los Angeles UC Berkeley UC Irvine UC Santa Barbara Stanford U Denver Portland State U Iowa UT-Austin Rice Texas A&M UT-Dallas ASU Notre Dame U. Maryland NCSU Illinois UC Columbia Harvard Purdue UVA Yale UC Santa Barbara Stanford Notre Dame U. Nebraska/Lincoln U. Maryland Cornell Illinois UC Caltech UC Berkeley MIT Northwestern Brown U Alabama Source: SRC

Nanobioscience Biological systems are inherently nano in scale. Nanobioscience combines the tools, ideas and materials of nanoscience to address biologically relevant questions. Cancer Stem Cells Diagnostics Drug Delivery Biosensors Prosthetics Medical Devices

CNSE. The Educational Programs M.S./Ph.D. in Nanoscience and Nanoengineering M.S./Ph.D. in Nanoscience; M.S./Ph.D. in Nanoengineering Undergraduate Nano-Engineering 09/04 09/05 09/06 01/10 TBD Dual M.S. in Nanotechnology Management ( Nano-MBA ) Undergraduate Nanoscience Degrees

Preparing the Technical Workforce GetNANO Partners: Hudson Valley Community College and CNSE. Provides HVCC students with handson training in CNSE s cleanroom facilities. Makes New York s workforce techready: operate complex equipment in tech plants.

Summary More Moore has led to an industry consolidation. Government-university-industry collaboration models are required in this increasingly complex and costly ecosystem. Ease of transfer into manufacturing is key to accelerating R&D timelines. SRC and Sematech play vital roles in providing novel concept pipelines and premanufacturing / infrastructure learning. More than Moore opens opportunities for SME partnership models. Technological breakthroughs driven by smaller players have significant impact potential. We expect game-changing developments in applying Nanotechnology to energy, biomedical and urban infrastructure. The US must maintain a vibrant infrastructure in this field. Government-university-industry collaboration models have to provide education and training for future technical leaders We have to inform and encourage support in the general population.