Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Similar documents
Optical Proximity Effects, part 3

Reducing Proximity Effects in Optical Lithography

What s So Hard About Lithography?

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

Optical Proximity Effects

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Optical Proximity Effects, part 2

Using the Normalized Image Log-Slope, part 2

Horizontal-Vertical (H-V) Bias

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Line End Shortening, part 2

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

More on the Mask Error Enhancement Factor

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Key Photolithographic Outputs

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Exhibit 2 Declaration of Dr. Chris Mack

The Formation of an Aerial Image, part 3

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Depth of Focus, part 2

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The Formation of an Aerial Image, part 2

16nm with 193nm Immersion Lithography and Double Exposure

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optolith 2D Lithography Simulator

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Lithography. International SEMATECH: A Focus on the Photomask Industry

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Optimizing FinFET Structures with Design-based Metrology

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Lithographic Process Evaluation by CD-SEM

MICRO AND NANOPROCESSING TECHNOLOGIES

Process Optimization

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Optical Projection Printing and Modeling

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Holistic View of Lithography for Double Patterning. Skip Miller ASML

OPC Scatterbars or Assist Features

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Flare compensation in EUV lithography

In-line focus monitoring and fast determination of best focus using scatterometry

Developing an Integrated Imaging System for the 70 nm Node Using High Numerical Aperture ArF Lithography

Lecture 5. Optical Lithography

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Resolution Enhancements Techniques for the 45nm node and Beyond

Hypersensitive parameter-identifying ring oscillators for lithography process monitoring

OPC Rectification of Random Space Patterns in 193nm Lithography

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Double Exposure Using 193nm Negative Tone Photoresist

Photolithography II ( Part 2 )

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

MICROCHIP MANUFACTURING by S. Wolf

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

optical and photoresist effects

Process Window OPC Verification: Dry versus Immersion Lithography for the 65 nm node

Purpose: Explain the top advanced issues and concepts in

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Resolution Enhancement Technologies

THE CHARACTERIZATION OF CHROMELESS PHASE SHIFT MASK TECHNIQUE FOR SUB-45NM LITHOGRAPHY TAN SOON YOENG

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

EE-527: MicroFabrication

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in 0.6NA KrF imaging

Imaging for the next decade

Synthesis of projection lithography for low k1 via interferometry

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Understanding focus effects in submicrometer optical lithography: a review

Design Rules for Silicon Photonics Prototyping

A Reliable Higher Power ArF Laser with Advanced Functionality for Immersion Lithography

Development of a Sub-100nm Integrated Imaging System Using Chromeless Phase-Shifting Imaging with Very High NA KrF Exposure and Off-axis Illumination

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Characterization Study of an Aqueous Developable Photosensitive Polyimide on 300 mm Wafers

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

17th Annual Microelectronic Engineering Conference, May 1999

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Effects of grid-placed contacts on circuit performance

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Optical Microlithography XXVIII

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Extending SMO into the lens pupil domain

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

Mirror-based pattern generation for maskless lithography

(Ar [ Si O Si O] m )n

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

IIL Imaging Model, Grating-Based Analysis and Optimization

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Transcription:

Tutor43.doc; Version /15/03 T h e L i t h o g r a p h y E x p e r t (November 2003) Scattering Bars Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas Resolution enhancement technologies refer to techniques that extend the usable resolution of an imaging system that don t involve decreasing the wavelength of light or increasing the numerical aperture of the imaging tool. The three most popular approaches are phase shifting masks, offaxis illumination, and optical proximity correction (see this column in Microlithography World, May 2003). In general, these three techniques do not work in isolation and the most aggressive mainstream lithography approaches use all three. In fact, off-axis illumination and phase shifting masks are essentially useless for typical chip manufacturing applications unless accompanied by optical proximity correction (OPC). While the most common and straightforward application of OPC is to simply move the absorber edges on the mask to better position the photoresist edges on the wafer (a task more easily said than done), an interesting and important additional technique is the use of scattering bars. Scattering bars, also called sub-resolution assist features (SRAFs), are narrow lines or spaces placed adjacent to a primary feature in order to make a relatively isolated primary line behave lithographically more like a dense line. The problem being solved is generically describe as the problem of iso-dense bias. Isolated features will almost always print at a feature size significantly different than the same mask feature surrounded by other features. The pitch curves of printed critical dimension (CD) versus pitch for various nominal mask dimensions show the problem (see Figure 1 and this column from Autumn, 16). While sizing the mask to give the correct CD on the wafer for all pitches certainly can work (this is the conventional OPC approach), there is another isolated versus dense difference that is not addressed by this bias OPC. The response of an isolated feature to focus and exposure errors is significantly different than the same sized dense line. Figure 2 shows example focus-exposure matrices for dense and isolated lines after the isolated line has been sized to give the proper CD at the best focus and exposure needed by the dense features. The different shapes of the Bossung curves produce different shapes for the process windows, which limits the overlapping depth of focus even when the features nominally have the same best exposure dose. Scattering bars are designed to reduce the difference in the focus response of an isolated feature compared to a dense feature by making the isolated feature seem more dense. This becomes especially important when on off-axis illumination scheme is optimized for greatest depth of focus (DOF) of the dense features. The impact of quadrupole illumination, for example, on isolated features is to reduce an already small DOF by increasing the isofocal bias (as evidenced by the uniform curvature of the isolated line Bossung curves in Figure 2a). The overlapping process window for the dense and isolated lines of Figure 2 are shown in Figure 3a. The curvature of the isolated process window severely limits the useable, overlapping DOF.

An SRAF, as the name implies, is a sub-resolution feature that is not meant to print. In fact, it must be carefully adjusted in size so that it never prints over the needed process window. This determines the most important trade-off in scattering bar design: make the assist features as large as possible in order to create a more dense-like mask pattern, but not so large as to print. Generally, these assist features are centered on the same pitch for which the off-axis illumination was optimized. As a result, the use of assist features allows the lithographer to design an offaxis illumination process optimized for dense patterns that can also be used to print more isolated features. The assist bars used in Figure 3b were 50nm in size (wafer dimensions), and resulted in an increase in the overlapping DOF from 0.3µm, when only bias OPC was used, to 0.4µm. Further improvement can be obtained by using double scattering bars, where a second set of scattering bars are placed further away to create an effective five bar pattern. Of course, this requires enough free space around the primary feature to actually be able to fit these extra assist features. While the concept of using scattering bars to improve the DOF of isolated features is a simple one, its practical implementation is anything but simple. Unlike the idealized case of an isolated line, real patterns contain lines with a variety of pitches (i.e., nearby patterns), each of which must be outfitted with an optimum assist feature, if one can fit. Bias OPC must be used on the intermediate cases where the space between two lines is not large enough to accommodate an assist feature. And then, of course, there is the problem of what to do with line ends and corners. These issues can be resolved, however, and sub-resolution assist features are becoming commonly used in many chip designs. Polysilicon gate and contact levels, in particular, have seen benefits from using SRAFs.

10 170 150nm Resist CD (nm) 150 130 0 0 0 130nm 0nm 0 0 200 400 600 00 00 00 Pitch (nm) 10 Resist CD (nm) 0 0 150nm 130nm 0 0nm 60 200 400 600 00 00 00 Pitch (nm) Figure 1. CD pitch curves (nominal mask CDs of 0nm, 130nm, and 150nm, NA = 0.5, λ = 24nm) with a) conventional illumination, σ = 0.5, and b) annular illumination with σ = 0.533/0..

Resist Feature Width, CD (nm) 10 0 0 0 60 40 13 14 15 16 17 1 20 0 Focus (um) Resist Feature Width, CD (nm) 250 200 150 0 50 13 14 15 16 17 1 0 Focus (um) Figure 2. Focus-exposure matrices (Bossung curves) for a) isolated and b) dense 130nm features (isolated lines biased to give the proper linewidth at the best focus and exposure of the dense lines, λ = 24nm, NA = 0.5, quadrupole illumination optimized for a 260nm pitch).

Dose (mj/cm 2 ) Focus (µm) Dose (mj/cm 2 ) Focus (µm) Figure 3. Overlapping process windows generated from the focus-exposure matrices of dense and isolated lines for a) isolated lines with bias OPC (overlapping DOF = 0.3µm) and b) isolated lines with scattering bars (overlapping DOF = 0.4µm)