An Overview of the Decimation process and its VLSI implementation

Similar documents
VLSI Implementation of Cascaded Integrator Comb Filters for DSP Applications

On-Chip Implementation of Cascaded Integrated Comb filters (CIC) for DSP applications

Design Of Multirate Linear Phase Decimation Filters For Oversampling Adcs

VLSI DESIGN OF ADVANCED DIGITAL FILTERS

Implementation of Decimation Filter for Hearing Aid Application

Low-Power Implementation of a Fifth-Order Comb Decimation Filter for Multi-Standard Transceiver Applications

Channelization and Frequency Tuning using FPGA for UMTS Baseband Application

Fully synthesised decimation filter for delta-sigma A/D converters

FPGA Based Hardware Efficient Digital Decimation Filter for - ADC

Multistage Implementation of 64x Interpolator

Design of a Decimator Filter for Novel Sigma-Delta Modulator

Low Power Decimator Design Using Bit-Serial Architecture for Biomedical Applications

Exploring Decimation Filters

DECIMATION FILTER FOR MULTISTANDARD WIRELESS RECEIVER SHEETAL S.SHENDE

PLC2 FPGA Days Software Defined Radio

Implementing DDC with the HERON-FPGA Family

Sine and Cosine Compensators for CIC Filter Suitable for Software Defined Radio

Summary Last Lecture

System on a Chip. Prof. Dr. Michael Kraft

Design Low Noise Digital Decimation Filter For Sigma-Delta-ADC

Design and Implementation of Efficient FIR Filter Structures using Xilinx System Generator

MULTIRATE IIR LINEAR DIGITAL FILTER DESIGN FOR POWER SYSTEM SUBSTATION

Convention Paper 8648

EE247 Lecture 22. Figures of merit (FOM) and trends for ADCs How to use/not use FOM. EECS 247 Lecture 22: Data Converters 2004 H. K.

Implementation of CIC filter for DUC/DDC

Interpolation Filters for the GNURadio+USRP2 Platform

High-Speed Hardware Efficient FIR Compensation Filter for Delta-Sigma Modulator Analog-to-Digital Converter in 0.13 μm CMOS Technology

The Case for Oversampling

The counterpart to a DAC is the ADC, which is generally a more complicated circuit. One of the most popular ADC circuit is the successive

Design of an Embedded System for Early Detection of Earthquake

Application of Hardware Efficient CIC Compensation Filter in Narrow Band Filtering

Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing

Comparison of Different Techniques to Design an Efficient FIR Digital Filter

Sigma-Delta ADC Tutorial and Latest Development in 90 nm CMOS for SoC

FPGA Based 70MHz Digital Receiver for RADAR Applications

CHAPTER 4 DESIGN OF DIGITAL DOWN CONVERTER AND SAMPLE RATE CONVERTER FOR DIGITAL FRONT- END OF SDR

Keywords: CIC Filter, Field Programmable Gate Array (FPGA), Decimator, Interpolator, Modelsim and Chipscope.

Design & Implementation of an Adaptive Delta Sigma Modulator

Performance Analysis of FIR Filter Design Using Reconfigurable Mac Unit

Cyber-Physical Systems ADC / DAC

VLSI Implementation of Digital Down Converter (DDC)

ALMA Memo No. 579 Revised version of September 20, The new 3-stage, low dissipation digital filter of the ALMA Correlator

Area & Speed Efficient CIC Interpolator for Wireless Communination Application

Third order CMOS decimator design for sigma delta modulators

Advanced AD/DA converters. ΔΣ DACs. Overview. Motivations. System overview. Why ΔΣ DACs

FPGA Prototyping of Digital RF Transmitter Employing Delta Sigma Modulation for SDR

Performance Analysis of FIR Digital Filter Design Technique and Implementation

BandPass Sigma-Delta Modulator for wideband IF signals

DIGITAL FILTERING OF MULTIPLE ANALOG CHANNELS

Design and FPGA Implementation of High-speed Parallel FIR Filters

ECE 627 Project: Design of a High-Speed Delta-Sigma A/D Converter

ECE 6560 Multirate Signal Processing Chapter 11

Analysis and Implementation of a Digital Converter for a WiMAX System

Lecture 10, ANIK. Data converters 2

MASH 2-1 MULTI-BIT SIGMA-DELTA MODULATOR FOR WLAN L 2 ( ) ( ) 1( 1 1 1

Fully Integrated FPGA-based configurable Motor Control

ELT Receiver Architectures and Signal Processing Fall Mandatory homework exercises

EE247 Lecture 24. EE247 Lecture 24

Performance Improvement of Delta Sigma Modulator for Wide-Band Continuous-Time Applications

An Efficient and Flexible Structure for Decimation and Sample Rate Adaptation in Software Radio Receivers

Exploring of Third-Order Cascaded Multi-bit Delta- Sigma Modulator with Interstage Feedback Paths

Electronics A/D and D/A converters

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

Design of a Power Optimal Reversible FIR Filter for Speech Signal Processing

ECE 6560 Multirate Signal Processing Chapter 13

A FFT/IFFT Soft IP Generator for OFDM Communication System

AD9772A - Functional Block Diagram

Multirate DSP, part 1: Upsampling and downsampling

SAMPLING AND RECONSTRUCTING SIGNALS

Time- interleaved sigma- delta modulator using output prediction scheme

Summary Last Lecture

The Loss of Down Converter for Digital Radar receiver

Appendix B. Design Implementation Description For The Digital Frequency Demodulator

Interpolation by a Prime Factor other than 2 in Low- Voltage Low-Power DAC

Choosing the Best ADC Architecture for Your Application Part 4:

The Research and Design of An Interpolation Filter Used in an Audio DAC

An Optimized Implementation of CSLA and CLLA for 32-bit Unsigned Multiplier Using Verilog

Low-Power Decimation Filter Design for Multi-Standard Transceiver Applications

Analog-to-Digital Converters

10 bit Delta Sigma D/A Converter with Increased S/N ratio Using Compact Adder Circuits

A PROTOTYPING OF SOFTWARE DEFINED RADIO USING QPSK MODULATION

Modified Booth Multiplier Based Low-Cost FIR Filter Design Shelja Jose, Shereena Mytheen

Presented at the 108th Convention 2000 February Paris, France

EE247 Lecture 26. EE247 Lecture 26

Low Power FIR Filter Structure Design Using Reversible Logic Gates for Speech Signal Processing

The Fundamentals of Mixed Signal Testing

Enhancing Analog Signal Generation by Digital Channel Using Pulse-Width Modulation

IGBT-Module integrated Current and Temperature Sense Features based on Sigma-Delta Converter

Chapter 2: Digitization of Sound

Flatten DAC frequency response EQUALIZING TECHNIQUES CAN COPE WITH THE NONFLAT FREQUENCY RESPONSE OF A DAC.

Design of Digital FIR Filter using Modified MAC Unit

ADVANCES in VLSI technology result in manufacturing

CHAPTER 2 FIR ARCHITECTURE FOR THE FILTER BANK OF SPEECH PROCESSOR

BANDPASS delta sigma ( ) modulators are used to digitize

Programmable Decimation Filter Design For Multi-Standards Software Defined Radio (SDR) Reciever

Digital Front-End for Software Defined Radio Wideband Channelizer

Multirate DSP, part 3: ADC oversampling

A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM

FPGA Implementation of Desensitized Half Band Filters

SCUBA-2. Low Pass Filtering

Transcription:

MPRA Munich Personal RePEc Archive An Overview of the Decimation process and its VLSI implementation Rozita Teymourzadeh and Masuri Othman UKM University 1. February 2006 Online at http://mpra.ub.uni-muenchen.de/41945/ MPRA Paper No. 41945, posted 16. October 2012 10:48 UTC

An Overview of the Decimation process and its VLSI implementation Rozita Teymourzadeh & Masuri Othman Department of Electrical, Electronic and Systems Engineering VLSI Design Research Group National University of Malaysia rozita60@vlsi.eng.ukm.my ABSTRACT Digital Decimation process plays an important task in communication system. It mostly is applied in transceiver when the frequency reduction is required. However, the decimation process for sigma delta modulator is considered in this research work. The proposed design was simulated using MATLAB software and implemented by hardware description language in Xilinx environment. Furthermore, the proposed advance arithmetic unit is applied to improve the system efficiency. Keywords : Decimation, CIC, comb, Filters, Converters, Sigma Delta A/D conversion, comb filters, decimation filters INTRODUCTION Although real world signals are analog, but digital to analog converter (ADC) helps lead signal to digital domain due to it is easier evaluate and process. Digital signal can be converted back to analog signal by digital to analog converter (DAC). Over sampling modulator is applied for audio application to convert it as digital signal by high sampling frequency. The audio signal is sampled within the modulator at a rate significantly higher than the Nyquist rate. After over sampling, decimation block is required to remove noise shaping and decimate the digital signal from high to low. For over sampling, Sigma delta modulator and for decimation Cascaded Integrator Comb filter (CIC), half band and Finite Impulse Response filter have been selected to carry out the task. This paper describes decimation process by the focus on high speed implementation of CIC filter (Hogenauer EB 1981). The advantages of this paper are using three methods to speed up the CIC filter such as using Modified Carry Look-adder Adders and achieve the filter ripple less than 0.0002 db. Simulink toolbox available in Matlab software which is used to simulator and Verilog HDL coding by Xilinx software help to verify the functionality of the CIC filters and Implement it on VLSI as chip. DESCRIPTION Digital audio application such HiFi CD and DAT systems often use sigma delta A/D converters (Aziz,, Sorensen & Spiegel 1996). The quality of sigma delta modulator is recognized by the order and its resolution. In this project the sampling frequency represent as 6.144 MHz with the over sampling ration of 128. Nyquist frequency is selected to be 48 khz to support f equal to 24 khz with the frequency response ripple less than B

0.0002 db. Figure 1 shows 3rd order sigma delta modulator with multirate decimation filter. A multirate decimation filter system was chosen to realize the needed performance. The filter system was thus organized as an initial filter stage having a 16:1decimation ratio followed by a third stage having an 8:1decimation ratio. Input Signal Sigma delta modulator 6.144 MHz CIC filter 384 KHz First half band filter 192 KHz Droop correction 96 KHz Second half band filter 48 KHz R0 6 R 1 2 R2 2 R 3 2 FIGURE 1: Digital Decimation Process CIC filter is located after sigma delta modulator and decimate the frequency by the ratio of 16. The packing of modulator and CIC filter minimized the noise by decrease the number of parallel pad drivers. Then CIC filter increase the sigma delta resolution to improve Signal to Noise ratio.the two half band filters (Brandt & Wooley 1994) are used to reduce remain sampling rate reduction to the Nyquist output rate. First half band filter and second half band filter make the frequency response more flat and sharp similar to ideal filter specially second half band filter due to higher order of the filter (R=40), has most effect to make the frequency response sharp. All the even coefficients of half band filters are zero exception last one which is 0.5. This particular make them efficient for 2:1 decimation ratio and reduce the computational complexity by near 50% as compared to general direct form filter Architecture. Droop correction filter is allocated to compensate pass band attenuation which is created by CIC filter. The frequency response of overall system will be shown in Figure 2. FIGURE 2: Frequency response of decimation system Similar Decimation process has been done by Thompson (Thompson 1989) but in this paper high speed Cascaded Integrator Comb filter is designed and implemented to accomplish decimation task, remove quantization noise and avoid aliasing to the signal. CASCADED INTEGRATOR COMB FILTER Previously, Low pass decimation filter structure (FIGURE 3) widely used for decimation before appearance of the CIC decimation filter (Adams 1994). This structure has M Multipliers which make the large number of computation whereas new structure of decimation or CIC is multiplier less in terms of minimizing hardware and computational. Additionally the CIC filter does not require storage for filter coefficients and multipliers as all coefficients are unity (Sangil Park 1990). The VLSI implementation of CIC filter makes it able to be used as a SoC chip.

z F s x(0) h(0) F s D Y(m) F s / D h(1) (a) Integrator decimator Comb z z h(2) h(m-2) F S Input sample rate 1 1 z R (b) 1 z RM F S R Output sample rate h(m-1) FIGURE 3: Decimation filter (a) low pass decimation filter (b) CIC filter where N is the number of stage, M is the differential delay and R is the decimation factor The CIC filter consist of N stages of integrator and comb filter which are connected by a down sampler stage as shown in figure 1 in z domain. The CIC filter has the following transfer function: N N (1 z ) H ( z) H I ( z). HC ( z) (1) (1 RM N RM 1 k ( ) N z 1 N z ) k 0 In this paper, N, M and R have been chosen to be 5, 1 and 16 respectively to avoid overflow in each stages. CIC filter has low and high pass component. Integrator part with low pas transfer function structure amplify low frequency component so integrator it self is not stable due to the integrator output will cause over flow. In this case, the comb stage with high pass structure attenuates the low frequency component, making the whole system stable. N, M and R are parameters to determine the register length requirements necessary to assure no data loss. The imum register growth/width, RM expressed as: N In other word, G G can be (3) G is the imum register growth and a function of the imum output magnitude due to the worst possible input conditions (Hogenauer EB 1981). If the input data word length is B, most significant bit (MSB) at the filter output, in B is given by: B [ N log 2 R Bin ] (4) In order to reduce the data loss, normally the first stage of the CIC filter has imum number of bit compared to the other stages. NOVEL SPECIFICATIONS This paper describes how to enhance the decimation system. To achieve the aim, three methods are used as follow: 1. High speed CIC filter 1.1 Truncation Truncation means estimating and removing Least Significant Bit (LSB) to reduce the area requirements on chip and power consumption and also increase speed of calculation. Although this estimation and removing introduces additional error, the error can be made small enough to be acceptable for Audio applications. Figure 2 illustrates five stages of the CIC filter when B is so truncation is applied to reduce register width. Matlab software helps to find word length in integrator and comb section.

22 bit 20 bit 18 bit + a_in + Integrator 1 Integrator 2 Integrator 3 Integrator 4 16 R Integrator 5 s_out Comb 1 Comb 2 Comb 3 FIGURE 4: Five-stages of truncated CIC filter include integrator and comb cell 1.2 Modified Carry look-ahead adder (MCLA) The other technique to increase speed is using Modified Carry Look-ahead Adder (Ciletti 2003). The Carry Look-ahead adder (CLA) is the fastest adder which can be used for speeding up purpose but the disadvantage of the CLA adder is that the carry logic is getting quite complicated for more than 4 bits so Modified Carry Look-ahead Adder (MCLA) is introduced to replace as adder. This improve in speed is due to the carry calculation in MCLA. The 25bit MCLA structure is shown in Figure 4. Its block diagram consists of 2, 4-bit module which is connected and each previous 4 bit calculates carry out for the next carry. The Verilog code has been written to implement summation. The MCLA Verilog code was downloaded to the Xilinx FPGA chip. It was found minimum clock period on FPGA board is 4.389ns (Maximum Frequency is 220 MHz). s[15:0] Comb 4 MCLA_16_1 Comb 5 a[15:0] b[15:0] s[19] b[19] a[19] s[18] b[18]a[18] s[17] b[17]a[17] s[16] b[16]a[16] PFA c[18] c[17] c[16] PFA PFA PFA Co4 g[19] p[19] g[18] p[18] g[17] p[17] CLL-2 g[16] p[16] Co4 s[23] b[23] a[23] s[22] b[22]a[22] s[21] b[21]a[21] s[20] b[20] a[20] PFA c[21] c[20] c[19] PFA PFA PFA Co5 g[23] p[23] g[22] p[22] g[21] p[21] CLL-2 g[20] p[20] Co5 s[24] b[24] a[24] SPFA Co6 FIGURE 5: The MCLA structure 1.3 Pipeline structure One way to have high speed CIC filter is by implementing the pipeline filter structure. Figure 6 shows pipeline CIC filter structure. In the pipelined structure, no additional pipeline registers are used for integrator part. (Djadi et al 1994).

Amplitude(dB) CIC_in + + 1 1 1 Integrator 1 Integrator 2 Integrator 3 Integrator 4 Integrator 5 16 R Comb 1 Comb 2 Comb 3 Comb 4 Comb 5 CIC_out FIGURE 6: Five-stage of truncated pipeline CIC filter include integrator and comb cell 2. FILTER RIPPLE Ripple is usually specified as a peak-to-peak level in decibels. It describes how little or how much the filter's amplitude varies within a band. Smaller amounts of ripple represent more consistent response and are generally preferable. There is, however, a tradeoff between ripple and transition bandwidth, so that decreasing either will only serves to increase the other. The way of ripple improvement is increasing the number of coefficients used by the filter. One disadvantage of using increasingly long filter lengths is the compute time required to perform the filtering. In this paper the order of first half band filter, droop correction and second half band filter has been design to be 4,8 and 40 respectively to provide ripple less than 0.0002 db. DESIGN RESULTS Figure 7 shows the Droop correction filter result. This filter design a low pass filter with pass band having the shape of inverse CIC filter frequency response. So it compensates amplitude droop cause of the CIC filter and makes whole system frequency response flat. 0.25 0.2 0.15 0.1 0.05 0-0.05-0.1-0.15-0.2 SINC, Droop Correction and First Half-band Filters -0.25 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 Frequency (Hz) x 10 4 FIGURE 7: Droop Correction effect on frequency response Figure 8 shows the measured baseband output spectra before (Figure 8(a)) and after (Figure 8(b)) the decimation functions. The CIC filter Verilog code was wrote and simulated by Matlab software. It is found Signal to Noise ratio (SNR) is 141.56 db in sigma delta modulator output and SNR is increased to 145.35 db in the decimation stages. To improve the signal to noise ratio, word length of recursive CIC filter should be increased but the speed of filter calculation is also decreased.

Amplitude(dB) (a) (b) FIGURE 8: Signal spectra (a) Output Δ modulator SNR (b) Output CIC filter SNR Figure 9 shows the pass band ripple for whole decimation system. It is clear that the pass band ripple is less than 0.0002 db. 12 x 10-4 Overal System Ripple 10 8 6 4 2 0-2 -4-6 -2.5-2 -1.5-1 -0.5 0 0.5 1 1.5 2 2.5 Frequency (Hz) x 10 4 FIGURE 9: Pass band ripple in whole decimation frequency response FIGURE 10: Simulation result on FPGA board The speed of CIC filter is improved to 163 MHz compared to behavioural CIC filter which is 107 MHz. the Design Analyzer software under Synopsis shows that the comb stage make imum delay in decimation process. CONCLUSIONS Recursive CIC filters have been designed and investigated. Enhanced high Speed CIC filters was obtained by truncation, the pipeline structure and by using the modified carry look-ahead adder (MCLA). The evaluation indicates that the pipelined CIC filter with MCLA adder is attractive due to high speed when both the decimation ratio and filter order are not high as stated in the Hogenauer Comb filter.

ACKNOWLEDGEMENT I wish to thank my supervisor, Prof. Dr. Masuri Othman who has assisted me for completion of this dissertation. REFERENCES Hogenauer EB, (1981).An economical class of digital filters for decimation and interpolation, IEEE transactions on acoustic, Sunnyvale, CA.Assp-29(2):155162 Pervez M. Aziz, Henrik V. Sorensen & Jan Van Der Spiegel, (1996) An Overview of Sigma Delta Converter, IEEE Signal processing magazine, 1053-5888/96, 61-82 Charles D. Thompson, (1989). A VLSI Sigma Delta A/D Converter for Audio and Signal Processing Applications, IEEE, Motorola DSP Operations, Austin, Texas, CH2673-2/89/0000-2569 IEEE. Brian P. Brandt and Bruce A. Wooley, (1994) A Low-Power, Area-Efficient Digital Filter for Decimation and Interpolation, IEEE Journal of Solid-State Circuits, Vol. 29, No.6 R.Adams, (1994). Design aspects of high-order delta-sigma A/D converters, IEEE International Symposium on Circuits and Systems Tutorials, pp. 235-259. Sangil Park, (1990). Principles of Sigma-delta Modulation for Analog-to-Digital Converters, Motorola Inc, APR8/D Rev.1. Michael D. Ciletti (2003), Advanced Digital design with the Verilog HDL, Prentice Hall, Department of Electrical and Computer Engineering University of Colorado at Colorado Springs Y. Djadi and T. A. Kwasniewski, C. Chan and V. Szwarc, (1994). A high throughput Programmable Decimation and Interpolation Filter. Proceeding of International Conference on Signal Processing Applications and Technology, pp.1743-1748.