Energy beam processing and the drive for ultra precision manufacturing

Similar documents
Intel Technology Journal

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Optics for EUV Lithography

Module - 2 Lecture - 13 Lithography I

Ion Beam Lithography next generation nanofabrication

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

MAPPER: High throughput Maskless Lithography

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Chapter 17: Wave Optics. What is Light? The Models of Light 1/11/13

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Photolithography I ( Part 1 )

A Brief Introduction to Single Electron Transistors. December 18, 2011

Nanofabrication technologies: high-throughput for tomorrow s metadevices

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

FinFET vs. FD-SOI Key Advantages & Disadvantages

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

EUV Interference Lithography in NewSUBARU

Introduction of New Products

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Laser patterning and projection lithography

Laser-Produced Sn-plasma for Highvolume Manufacturing EUV Lithography

Part 5-1: Lithography

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

Optical lithography is the technique for

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

Strategies for low cost imprint molds

Fabrication of micro structures on curve surface by X-ray lithography

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Practical Applications of Laser Technology for Semiconductor Electronics

CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure. John Zacharkow

Optical Bus for Intra and Inter-chip Optical Interconnects

MICROCHIP MANUFACTURING by S. Wolf

Diffraction, Fourier Optics and Imaging

ASCENT Overview. European Nanoelectronics Infrastructure Access. MOS-AK Workshop, Infineon, Munich, 13 th March 2018.

(Complementary E-Beam Lithography)

Major Fabrication Steps in MOS Process Flow

EE-527: MicroFabrication

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Integrated Photonics using the POET Optical InterposerTM Platform

Direct printing tools for flexible hybrid electronics assembly. David Grierson, Ph.D. President & CTO of systemech, LLC

Feature-level Compensation & Control

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

Progresses in NIL Template Fabrication Naoya Hayashi

A Laser-Based Thin-Film Growth Monitor

Photolithography 光刻 Part I: Optics

Lecture 5. Optical Lithography

Micro-Nanofabrication

Micro- and Nano-Technology... for Optics

32nm High-K/Metal Gate Version Including 2nd Generation Intel Core processor family

2D silicon-based surface-normal vertical cavity photonic crystal waveguide array for high-density optical interconnects

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

Georgia Tech IEN EBL Facility NNIN Highlights 2014 External User Projects

Session 2: Silicon and Carbon Photonics (11:00 11:30, Huxley LT311)

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

New Process Technologies Will silicon CMOS carry us to the end of the Roadmap?

Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

Optical Monitoring System Enables Greater Accuracy in Thin-Film Coatings. Line Scan Cameras What Do They Do?

420 Intro to VLSI Design

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

The future of lithography and its impact on design

Fabricating 2.5D, 3D, 5.5D Devices

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

MEDIA RELEASE FOR IMMEDIATE RELEASE 26 JULY 2016

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Nanofluidic Diodes based on Nanotube Heterojunctions

Solid Immersion and Evanescent Wave Lithography at Numerical Apertures > 1.60

Low-cost Interference Lithography

Nanotechnology, the infrastructure, and IBM s research projects

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Update on 193nm immersion exposure tool

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Midaz Micro-Slab DPSS Lasers:

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

Open Innovation to Manage Risks in Technology The Business of Breakthroughs

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Moving from biomedical to industrial applications: OCT Enables Hi-Res ND Depth Analysis

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Nanostencil Lithography and Nanoelectronic Applications

Roadmap Semiconductor Equipment Innovation Agenda

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics

Integrated into Nanowire Waveguides

New CD-SEM System for 100-nm Node Process

Chapter 2 Silicon Planar Processing and Photolithography

Design and Fabrication of an Efficient Extreme Ultraviolet Beam Splitter

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

INSPECTION AND REVIEW PORTFOLIO FOR 3D FUTURE

Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Directional Growth of Ultra-long CsPbBr 3 Perovskite. Nanowires for High Performance Photodetectors

From Sand to Silicon Making of a Chip Illustrations May 2009

Welcome to. A facility within the Nanometer Structure Consortium (nmc) at Lund University. nanolab. lund

Transcription:

Energy beam processing and the drive for ultra precision manufacturing An Exploration of Future Manufacturing Technologies in Response to the Increasing Demands and Complexity of Next Generation Smart Systems and Nanotechnology Prof Bill OʼNeill Centre for Industrial Photonics Institute for Manufacturing Department of Engineering University of Cambridge

Challenges Facing Nano System Manufacturing Strategic Research Agenda, EPoSS, v2 2009

Manufacturing length scales.. http://nanopedia.case.edu/nwpage.php?page=nanoscale

Manufacturing Methodology vs Length Scales

Lithographic techniques Lithography is the principle means of writing circuit elements Resolution limited by wavelength

X-ray lithography Moores Lawe EUV Source Production In conformance with Intel co-founder Gordon Moore's 1965 prediction, now known as "Moore's Law," the density of circuit elements on microchips has doubled roughly every 12 to 18 months for more than 30 years, resulting in ever smaller, faster, and cheaper computers. However, manufacturers know that the traditional i technique for printing circuit patterns optical lithography based on refractive optics (lenses) cannot continue indefinitely on this course Today's leading candidate for a successor, known as EUV lithography, relies on reflective optics (mirrors) to image patterns from masks onto the surface of a silicon wafer that will ultimately be diced into microchips. The first computer processors produced with EUV technology beginning around 2007 are expected to be almost ten times faster than today's most powerful chips, and the storage capacity of memory chips will increase even more. But before that day arrives, there is the matter of producing accurate EUV lithography cameras EUV Source Requirements 100W at the workpiece (λ 13.5nm) Pulse repetition > 1kHz Chamber pressure < 10-6 bar Xenon density 10 18 cm -3 Laser power density 10 11 to 10 13 Wcm -2

EUV? http://www.llnl.gov/str/sweeney.html html Carl Zeiss SMT AG has demonstrated that the EUVL technology is capable of fulfilling the requirements to print semi-dense lines down to 35nm and below

8

Long term future? Nano Imprint Lithography Stephen Y. Chou*, Chris Keimel & Jian Gu NanoStructure Laboratory, Department of Electrical Engineering, Princeton University, Princeton, New Jersey Scanning electron microscope (SEM) images. a, A uniform 300 nm period silicon grating patterned by LADI. The grating has 140 nm linewidth and is 110 nm deep. b, The mould after the two LADI processes showing no visible damage. >10 nm!!

Nanophase material properties in comparison with coarse grained counterparts Strength & Hardness Elastic Modulus Ductility & Toughness Diffusivity Nanocrystallin e Materials CTE Thermal Conductivit y Magnetic Properties Electrical Resistivity

Focused Ion Beam

Ga Focused Ion Beam Machining

Machining with Gallium Ions Benefits: Rapid R id M Material t i l Removal

Machining with Neon Ions Benefits: Precise Materia Removal No Ga Implantation 200nm

Machining with Helium Ions Benefits: Nanofabrication (<10nm) Minimal Lateral Damage ~4nm gap 100nm TEM Image

Imaging with Helium Ions Benefits: High Resolution (0.50 nm) No Charging Artifacts Large Depth of Field 500nm Pd catalyst grown on ZnO nanowires

Multi-ion beam machining Ga Milling Ne Milling Intermediate Final Bulk Milling Milling with with Ga He Ne He Milling Sample: Gold film on Glass substrate

Ion Beam Lithography Application Sub-10 nm Lithography Solution Helium Ion Beam Lithography. State-of-the-art He-Beam Litho Best results : 4 nm lines at 7 nm pitch Half-pitch = 5 nm Dose = 68 ions/nm Half-pitch = 4 nm Dose = 56 ions/nm Half-pitch = 3.5 nm Dose = 49 ions/nm Source: Karl Berggren, MIT Donny Winston, HP Extending the limits

Key Research Challenges Create & demonstrate new production chains to apply nano & micro scale features rapidly onto large (and continuous) multi-material substrates, through flag ship projects creating 3 research platforms. Create & demonstrate new ultra precision and fine feature generation processes for multi- material processing of emerging smart products including their effective quality control.

Nano Fabrication Platform Technology Productivity it ~ x 30,000000 over FIB

Hybrid FIB/Laser/RAP

The Alpha Factory The Alpha Factory would serve as a hub for innovation and manufacturing technology development and company scale up and would actively identify and provide services required to support client's success. Preliminary objectives for the Centre may include the following: Assist the commercialisation of emerging innovation Support the development of advanced manufacturing technologies Create new job opportunities for Cambridge area residents and those in the wider UK Better leverage of intellectual property p from universities, research laboratories and companies Generate new innovation models for the UK

Bibliography 1. CMOS Process Flow in Wafer Fab, Semiconductor Manufacturing Technology, DRAFT, Austin Community College, January 2, 1997. 2. Semiconductor ctor Processing with MKS Instruments, Inc. 3. Worthington, Eric. New CMP architecture addresses key process issues, Solid State Technology, January 1996. 4. Leskonic, Sharon. Overview of CMP Processing, SEMATECH Presentation, 1996. 5. Gwozdz, Peter. Semiconductor Processing Technology SEMI, 1997. 6. CVD Tungsten, Novellus Sales Brochure, 7/96. 7. Fullman Company website. Fullman Company - The Semiconductor Manufacturing Process, http://www.fullman.com/semiconductors/index.html, ctors/inde html 1997. 8. Barrett, Craig R. From Sand to Silicon: Manufacturing an Integrated Circuit, Scientific American Special Issue: The Solid State Century, January 22, 1998. 31

Thank-you