Mask Fabrication For Nanoimprint Lithography

Similar documents
Development of Nanoimprint Mold Using JBX-9300FS

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Major Fabrication Steps in MOS Process Flow

Progresses in NIL Template Fabrication Naoya Hayashi

EUV Interference Lithography in NewSUBARU

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Design Rules for Silicon Photonics Prototyping

State-of-the-art device fabrication techniques

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

EE 143 Microfabrication Technology Fall 2014

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Institute of Solid State Physics. Technische Universität Graz. Lithography. Peter Hadley

2009 International Workshop on EUV Lithography

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Part 5-1: Lithography

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Challenges of EUV masks and preliminary evaluation

Inspection of templates for imprint lithography

Critical Dimension and Image Placement Issues for Step and Flash Imprint Lithography Templates

From Possible to Practical The Evolution of Nanoimprint for Patterned Media

Advanced Patterning Techniques for 22nm HP and beyond

Generating integrated-circuit patterns via cutting and stitching of gratings

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Photolithography I ( Part 1 )

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Nanofluidic Diodes based on Nanotube Heterojunctions

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Cost of Ownership Analysis for Patterning Using Step and Flash Imprint Lithography

Clean Room Technology Optical Lithography. Lithography I. takenfrombdhuey

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Strategies for low cost imprint molds

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Process Optimization

TECHNOLOGY ROADMAP 2011 EDITION LITHOGRAPHY FOR

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Multi-beam mask writer MBM-1000 for advanced mask making

The Development of Full Field High Resolution Imprint Templates

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

Lecture 13 Basic Photolithography

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

MAPPER: High throughput Maskless Lithography

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Feature-level Compensation & Control

Low-Cost Nanostructure Patterning Using Step and Flash Imprint Lithography

Micro-Nanofabrication

Introduction of ADVANTEST EB Lithography System

Quantized patterning using nanoimprinted blanks

High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

DTU DANCHIP an open access micro/nanofabrication facility bridging academic research and small scale production

Optolith 2D Lithography Simulator

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Evaluation of the Imprio 100 Step and Flash Imprint Lithography Tool

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

CRITICAL DIMENSION CONTROL, OVERLAY, AND THROUGHPUT BUDGETS IN UV NANOIMPRINT STEPPER TECHNOLOGY

EG2605 Undergraduate Research Opportunities Program. Large Scale Nano Fabrication via Proton Lithography Using Metallic Stencils

Image placement issues for ITO-based step and flash imprint lithography templates

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Toward 5nm node ; Untoward Scaling with Multi-patterning

Micro- and Nano- Fabrication and Replication Techniques

What s So Hard About Lithography?

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Lecture 8. Microlithography

Defect inspection of imprinted 32 nm half pitch patterns

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Nanoscale Lithography. NA & Immersion. Trends in λ, NA, k 1. Pushing The Limits of Photolithography Introduction to Nanotechnology

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Photolithography Technology and Application

Real time plasma etch control by means of physical plasma parameters with HERCULES

Contrast Enhancement Materials CEM 365HR

University of California, Berkeley Department of Mechanical Engineering. ME 290R Topics in Manufacturing, Fall 2014: Lithography

Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Device Fabrication: Photolithography

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

EUVL getting ready for volume introduction

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

AOARD REPORT The Photomask Japan '94 Held 22 April 94 at Kawasaki Science Park, Kanagawa, Japan

Mask Technology Development in Extreme-Ultraviolet Lithography

Fabrication Techniques of Optical ICs

DOE Project: Resist Characterization

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

A process for, and optical performance of, a low cost Wire Grid Polarizer

Sub-50 nm period patterns with EUV interference lithography

Micro- and Nano-Technology... for Optics

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces

Exhibit 2 Declaration of Dr. Chris Mack

Transcription:

Mask Fabrication For Nanoimprint Lithography Doug Resnick Canon Nanotechnologies 1807C W. Braker Lane Austin, TX 78758 * dresnick@cnt.canon.com

Template (Imprint Mask) Fabrication: Outline E-beam and Etch Basics Thermal IL Template Fabrication Process Templates for Soft Lithography J-FIL Templates - Processing Challenges - Mask Shop Compatible Process Commercial Path for Templates - Gaussian based templates Resolution and Line Width Roughness (LWR) - Variable Shape Beam templates Resolution, Image Placement, Write Time Mask Replication Template Inspection Template Repair Templates for full wafer/disk, and R2R imprinting Conclusions By the end of the course, you will know how to fabricate (or better yet, order) your own templates

First, A Brief History Lesson EUVL: Started late 1980 s EPL: Started ~ 1990 MBDW: Started in the 1980 s 193Immersion: Started ~2001 Gutenberg Press Imprint Lithography 1041 Movable clay type invented in China. 1436 Gutenberg commenced work on his press. 1440 Gutenberg completed his press which used metal moving type. 1455 Gutenberg completed work on his 42 Line Bible. 1455 Gutenberg was effectively bankrupt. 1456 Mazarw Bible printed in Mainz. 1462 The attack on Mainz by soldiers of the Archbishop of Nassau, caused printers to flee and spread their skills around Europe. 1477 The first book to be printed in England (by Caxton) 1499 Printing established in more than 250 cities in Europe.

Mask Basics Photomask Chromium (60 100nm) Fused Silica 6.35mm 6 For a photomask, light is projected through the mask, through a lens (with 4x reduction optics) and an aerial image is projected into a photoresist on a silicon wafer For an imprint mask (or template), the final resist image depends almost entirely on the relief feature on the template

Template Fabrication Fabrication of a template generally requires: - Patterning of a resist (Electron beam writing system) - Pattern transfer of the pattern into an underlying material (RIE) E-beam Systems Gaussian-Beam tool Shaped-Beam Tool

Electron Beam Writing Strategies Gaussian Beam Electron Gun Shaped Beam Aperture Pros and Cons Small spot size Dreadfully slow Example: Vistec VB300 Pros and Cons Much faster Resolution limited by blur Example: NuFlare EBM 7000

Electron Scattering Basics (Subtitle: Why electron beam lithographers are unhappy people) M ( r) Proximity Correction 2 2 1 1 r r exp exp 2 2 2 (1 ) 2 -forward scattering coeff. -backscattering coeff. -ratio of backscattering to forward Uncorrected Proximity Corrected

Etch Basics: Sputtering Sputtering has an angular dependence (faceting). Sputtering reduces the need for product volatility. Sputtering provides directional anisotropy. Ion Energy (ev) Reaction <3 Physical absorption 4-10 Surface sputtering 10-5000 Sputtering 10,000-20,000 Implantation *After Berkeley Labs Inert gases provide good yields and avoid contamination. Redeposition is an issue. Aspect ratio is limited.

Etch Basics: Chemical Etching At higher pressures, substrate removal is accomplished primarily by reactive species generated in the plasma. Reaction rate can be strongly influenced by ions damage clean energy for reaction Low pressure results in normal ion incidence, but also typically lower ion densities. A variety of tool configurations are available on the market to address specific applications. *After Berkeley Labs

Thermal IL Template Fabrication Thermal IL Process Template Silicon thermoplastic Thermoset Substrate The most common IL template is simply a patterned silicon wafer silicon E-beam pattern resist Etch silicon S. Chou, Princeton Strip Resist Silicon can be etched with SF 6, CF 4, Cl 2, HBr, etc

Silicon Etch Resist 38nm 32nm 56nm 55nm Silicon HBr etch 57nm 49nm 64nm 68nm Cl 2 and HBr chemistries tend to etch silicon more anistropically SF 6 and CF 4 /0 2 tend to undercut the feature (end product is SiF 4 ) Resist alone is not always a sufficient etch mask. Oxides, nitrides, and chrome are often used as hard masks

IL Template Fabrication Another popular IL template scheme uses SiO 2 as the mold SiO 2 silicon Pattern resist After SiO 2 etch Etch oxide After resist strip CF x Strip Resist CHF3 + SiF4 C02 C0 C0F2 Si02 Ion enhanced reaction, selective to Si * Plasma Etching: Daniel Flamm 10 nm Si0 2 pillars on silicon *from Chou

Soft Lithography Templates Soft Lithography Whitesides, Harvard 1. PDMS template with thiol Polydimethylsiloxane (PDMS) Elastomeric material: polymer chain of silicon containing oils CH 3 CH 3 CH 3 CH 3 Si O Si O Si CH 3 2. Imprint stamp 3. Transfer molecules 4. Pattern Transfer CH 3 CH 3 CH 3 n Example: Sylgard 184: Dow Corning Tensile strength: 7.1 MPa Elongation at break: 140 % Tear strength: 2.6 kn/m

PDMS Fabrication Process Liquid Precursor to PDMS PDMS Stamp Master Master Features Microfluidic device FET

J-FIL Template Layout for Semiconductors 26mm x 33mm Patterned area 6 x 6 x 0.25 (6025) quartz blank substrate Patterned area rests on a mesa (15-30um) Thick resist over 15 nm Cr 15 m high pedestal

J-FIL Template Attributes J-FIL Template Template Attributes: Release Layer Etch Barrier Transfer Layer Transparent to UV light Compatible with a release layer Compatible with alignment schemes Mechanically durable Chemically durable (cleaning) Manufacturable Good CD control Good Image Placement Low Defectivity Inspectable: UV, DUV, e-beam Repairable UV Imprint and Expose Base Layer Etch Base layer and transfer layer

Conventional Photomask Processing Resist Cr 6025 Quartz Resist applied to 80 nm of Cr Expose/develop e-beam resist Etch chrome (wet or dry), strip resist To fabricate a J-FIL Template, we need to add one more step Etch quartz, Strip chrome This process is currently used in mask shops to fabricate phase shift masks So, What s the Problem? We re making 1X masks, so we must dry etch Dry etching of Cr is subject to undercut and loading effects

Chromium Etching Cr + 2O* + 2Cl* CrO 2 Cl 2 Issues: The etch has a large chemical component: undercut The process requires a lot of oxygen (25%): resist loss The process is subject to loading effects: CD variation CD Bias (nm) 250 200 150 100 50 0 RIE 3 Sigma ICP 3 Sigma RIE CD Bias ICP CD Bias 7% 34% 50% 71% 90% Exposed Chrome Area (%) 480 400 320 240 160 80 0 CD Bias 3 Sigma (nm) CD Bias (nm) 240 200 160 120 80 40 0 RIE 1:1 ICP 1:1 RIE 1:2 ICP 1:2 0.3 0.5 0.7 Feature Size ( m)

J-FIL Template Fabrication Schemes Resist Cr To minimize these effects, reduce the Cr thickness 6025 Quartz Resist applied to <15 nm of Cr Expose/develop e-beam resist, descum Etch chrome, strip resist Etch quartz, Strip chrome Compatible with existing Mask Shop Processes Following Slides: Leica VB6 operating at 100 kv 5 nm address grid ZEP520 positive e-beam resist Track processing on an EVG 150/160 Etching: Unaxis VLR Gas Chemistry: Cr Cl 2 /O 2, SiO 2 CF 4 /O 2

ZEP520 Exposure/Descum ZEP520A process latitude is excellent 2.6nm change for every 20 seconds Resist (nm) 140 120 100 80 60 Resist Descum Cr Etch Quartz Etch Final CD Bias (nm) 10 5 0-5 60 nm l/s 80 nm l/s 100 nm l/s 40 300 400 500 600 700 800 900 Exposure Dose ( C/cm 2 ) -10 0 20 40 60 80 100 Descum Time (s)

Cr Process CD Results Final CD Bias (nm) 20 15 10 5 0 20 s descum -5 40 s descum 60 s descum -10 50 60 70 80 90 100 110 120 130 Chrome Overetch (%) 60% 80% 100% 120% All results shown are for 80 nm features. Similar to observations made for increasing descum time, a positive CD change of 3.8 nm per 20% of Cr overetch exists.

FIB/TEM Feature Profile Cross-sectioning the trenches was done using a focused ion beam tool in conjunction with a protective film stack to avoid extreme charging, sample drift, and surface damage. PF031023-1.3 PF031023-1.4 Using TEM measurements as a basis, sidewall angles of 150 nm features were calculated to be ~ 84 The measured etch depth of 98 nm compares extremely well to profilometer and AFM measurements.

Fabrication Window A 20 s descum coupled with a 110% Cr overetch was found to give the best performance in terms of CD control and line edge roughness. CD Bias from Coded (nm) 25 20 15 10 5 0-5 -10-15 60 nm features 80 nm features 100 nm features Resist Cr Etch Final Descum Quartz Etch For 60 nm clustered features, the spaces measure ~ 4 nm over coded size. The descum process increases CD by about the same magnitude. Resist erosion during Cr etch results in approximately 7 more nanometers of bias. After quartz etch, CD bias is 1.5 nm less than coded. The quartz sidewall angle is about 5 from the normal Final CD bias ends up approximately 1 nm from coded after the Cr hardmask is stripped.

Pattern/Pattern Transfer Process Resist Descum Cr Etch Resist Strip Quartz Etch 60 nm 40 nm 30 nm SPIE: Feb 2002

Pattern Transfer Process Magnification : 150k PMJ: April 2008

Electron Beam Pattern Generators There are two methods for generating patterns on a template: 1. Gaussian beam PGs: Great for unit process development and device prototyping 2. Variable Shaped Beam PGs: Needed for full field pattern generation and for image placement How do I get the best result from each tool? Resolution Line Width Roughness CD uniformity Image Placement Write Time

Gaussian Beam Pattern Generators

ZEP520A Process Development Resist response was studied for a variety of different developers Exposure latitude of the resist was mapped as a function of feature bias Dose ( C/cm2) Relative thickness remaining 1.0E+01 1.0E+02 1.0E+03 1.0 0.8 0.6 0.4 0.2 Xylenes o-xylene Amyl acetate Hexyl acetate Measured resist CD (nm) 80 70 60 50 40 30 20 Numbers next to curves indicate the digitized CD 40 nm 30 nm 80 nm pitch grating 20 nm CD 10 0.0 0 200 400 600 Dose (uc/cm2) Amyl Acetate developer provides a good combination of contrast and sensitivity Exposure latitude is improves as biasing of critical features increases

Development of ZEP520A resist Sonication of developer bath Dilution of developer Equal mixture of amyl acetate with isopropyl alcohol 30 28 26 Negative bias of 12 nm 120 s puddle development 24 60 s isopropyl alcohol rinse, dry 22 nm half-pitch 18 nm half-pitch CD (nm) 22 20 24 nm 22 nm 18 20 nm 16 18 nm 14 12 200 300 400 500 600 CD normalized dose ( C/cm2)

Imprint Resolution 28nm half-pitch 25nm Contacts 22nm half-pitch 22nm Fan-out 20nm Half Pitch 20nm Half Pitch

Line Width Roughness (LWR) Variation in CD along the length of a line Results in variation of MOS gate width Affects device speed of individual transistors Leads to IC timing issues ITRS Roadmap for LWR (nm, 3 ) 2007 2010 2013 2016 2019 DRAM Half- Pitch 65 45 32 22 16 LWR 3.4 2.4 1.7 1.2 0.8 Future nodes have no known solutions. 100 nm

LWR Example: EUVL Throughput requirements of EUVL require the use of fast chemically amplified resists Low exposure doses required for throughput Too few photons: ~2 / nm 2 Shot noise effects RLS Trade-Off for Chemically Amplified Resists Resolution vs. LWR vs. Sensitivity (Robert Brainard, Gregg Gallatin) Resolution LWR ~ 6-8 nm (SPIE) So, is imprint lithography immune to this problem? YES! And NO!!

Pattern formation with J-FIL technology Imprint Mask Fabrication Resolution and LWR Imprint Patterning Throughput Use non-ca resists for best resolution and LWR performance. Utilize existing photomask infrastructure for fabrication and inspection. CD, CDU, LWR, etc. of the patterned resist is determined by the template.

LWR minimization at 22 nm 34 CD 6 Under Exposed 30 5 LWR=3.29nm Correctly Exposed CD (nm) 26 22 18 4 3 2 LWR, 3 (nm) LWR=2.45nm 14 LWR = 2.45 nm, 3 1 Over Exposed Parameter mean LWR=4.27nm Line Width, nm 23.36 1.28 LWR <3 >, nm 2.15 0.29 22 nm HP 10 200 300 400 500 600 700 22 nm normalized dose ( C/cm2) 250 C/cm 2 : ~15 electrons / nm 2 Gaussian E-Beam exposure at 100kV 0

Template: CD and LWR Analysis 32nm 46 44 7 6 Measured CD (nm) 42 40 38 36 34 CD 5 4 3 2 LWR, 3 (nm) Parameter Mean, nm Std. dev., nm Line Width 31.9 0.518 LWR <3σ> 3.12 0.409 Left LER <3σ> 4.326 0.447 Right LER <3σ> 4.074 0.375 Pitch 123.8 0.368 32 30 30 32 34 36 38 40 42 44 46 Coded CD (nm) CD is linear from 32 to 44nm (to within about 5%) LWR is small, and independent of critical dimension 1 0

32nm Imprint Evaluation 32nm #1 LWR=2.55nm #2 LWR=3.05nm Template: LWR = 3.1nm Imprints #1 and #2 are taken from the same location Imprint #3 is located 2mm from Imprint #1 #3 LWR=2.60nm

30 nm and 40 nm design: LWR after etch into SiO 2 30 nm design Field 6 LWR (nm, 3 ) = 1.91 2.15 2.56 LER 1.76 40 nm design Field 11 LWR (nm, 3 ) = 2.05 1.79 2.40 LER 1.01

Summary of Line Width Roughness Data LWR (nm, 3sigma) 5 4 3 2 1 E-beam Template Imprint Etch Fit 0 15 20 25 30 35 40 45 50 Measured Feature Size (nm) # lines measured: 170 LWR mean LWR min LWR max 3 = 2.79nm = 1.70nm = 4.39nm = 1.59nm

Variable Shape Beam Pattern Generators

Variable Shape Beam PGs (VSBs) NuFlare EBM 6000 plus System Architecture VSB systems are e-beam tools of choice for writing 4x photomasks J. Yashima et al, Photomask Japan 2007 Old Wives Tale 9647: VSB tools are the correct choice if you need to write fast, but they don t have great resolution

VSB: Commercial Shops CA Resists Logic 80nm 70nm 65 nm grating EIPBN: May 2005

Exposure Results: VSBs and ZEP520A ZEP520A Resist Images: EBM-5000 35nm 45nm 45nm 40nm 50nm 35nm BACUS: September 2007

38nm Half Pitch NAND Flash: Gate Level Resolution & LWR both excellent Imprint Results: SPIE: Feb 2008

VSB: 32nm Imprints 32nm half-pitch 32nm Hor/Vert 32nm x-hatch 32nm HP Pillars 32nm CMOS Test 32nm Metal-1 August 2008

Sub-32nm from VSB PGs 22nm Imprint Mask 24nm 28nm 24nm Imprints 26nm 26nm

Sub 20nm Masks from VSB PGs Current NAND Flash devices are now being fabricated at half pitches of less than 20nm How do we make a sub-20nm mask from a VSB tool? OK, how can they do that?

Density Multiplication Density multiplication, also referred to as self aligned spacer double patterning is a standard process of record used to make high density NAND Flash devices SADP SAQP

Some Density Multiplication Examples SADP SAQP

CDU and Image Placement Comparison CD Uniformity Image Placement GB VSB

Write Time Patterns Reticle A Pattern density: 39.68% Reticle B Pattern density: 15.88% Optical mask A (with OPC) Optical mask B (with OPC) Template A Pattern density: 36.68% Template B Pattern density: 11.78% Template A (without OPC) Template B (without OPC)

Write Time Results Pattern A Shot counts [G shot] Writing time [hh:mm:ss] Template, ZEP520A 223.7 22:51:43 4X Mask, FEP171 385.1 25:49:18 4X Mask, PRL009 770.3 62:24:05 Pattern B Shot counts [G shot] Writing time [hh:mm:ss] Template, ZEP520A 78.6 8:17:29 4X Mask, FEP171 336.5 22:48:37 4X Mask, PRL009 673.0 54:23:02

When all is said and done, e-beam machines are slow! How can we make them write faster? 262,000 beams!!! Probably good for fast mask writing, but maybe never for wafer writing

Mask Replication The lifetime of a mask is anticipated to be ~ 50,000 100,000 imprints An e-beam written master mask will cost ~ $500K If you wanted to print 1M wafers, you would spend ~ $500M on masks Go share that strategy with a fab manager!!! The solution: create a Master Template that can easily be replicated Master Daughter approach Good news! You can use an imprinter to make the Daughter Templates