Multi-Beam activity from the 1980s. Apr 18, 2013 Panel Discussion Photomask Japan 2013

Similar documents
Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

PML2 Projection. Lithography. The mask-less electron multi-beam solution for the 22nm node and beyond. IMS Nanofabrication AG

Imaging across the world. Hiroshi Matsumoto, Munehiro Ogasawara and Kiyoshi Hattori April 18 th, 2013

Multi-beam mask writer MBM-1000 for advanced mask making

Applications for Mask-less E-Beam Lithography between R&D and Manufacturing

Progresses in NIL Template Fabrication Naoya Hayashi

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Electron Beam Lithography. Adam Ramm

EUVL getting ready for volume introduction

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Introduction of ADVANTEST EB Lithography System

5 th Annual ebeam Initiative Luncheon SPIE February 26, Aki Fujimura CEO D2S, Inc. Managing Company Sponsor ebeam Initiative

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

COMPARISON OF ULTIMATE RESOLUTION ACHIEVED BY E-BEAM WRITERS WITH SHAPED BEAM AND WITH GAUSSIAN BEAM

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Status and Challenges for Multibeam DW lithography. L. PAIN CEA - LETI Silicon Technology Department

IMAGINE: an open consortium to boost maskless lithography take off First assessment results on MAPPER technology

Optical Proximity Effects

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

MAPPER: High throughput Maskless Lithography

Advanced Patterning Techniques for 22nm HP and beyond

Photomask. emet POC: Realization of a proof-ofconcept 50 kev electron multibeam Mask Exposure Tool N E W S. Take A Look Inside:

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

16nm with 193nm Immersion Lithography and Double Exposure

Scope and Limit of Lithography to the End of Moore s Law

Improving registration metrology by correlation methods based on alias-free image simulation

Update on 193nm immersion exposure tool

(Complementary E-Beam Lithography)

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

EUVL: Challenges to Manufacturing Insertion

Micro- and Nano-Technology... for Optics

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

Challenges of EUV masks and preliminary evaluation

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Benefit of ArF immersion lithography in 55 nm logic device manufacturing

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Mirror-based pattern generation for maskless lithography

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

Registration performance on EUV masks using high-resolution registration metrology

Mask Technology Development in Extreme-Ultraviolet Lithography

Innovative Mask Aligner Lithography for MEMS and Packaging

Micro- and Nano-Technology... for Optics

Optical Maskless Lithography (OML) Project Status

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Development of Nanoimprint Mold Using JBX-9300FS

Imaging for the next decade

Sub-20nm Hybrid Lithography using Optical + Pitch-Division and e- Beam

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Optimization of PMMA 950KA4 resist patterns using Electron Beam Lithography

EXPOSURE TIME COMPARISON BETWEEN E-BEAM WRITER WITH GAUSSIAN BEAM AND VARIABLE SHAPED BEAM

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

MICRO AND NANOPROCESSING TECHNOLOGIES

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Purpose: Explain the top 10 phenomena and concepts. BPP-1: Resolution and Depth of Focus (1.5X)

High-NA EUV lithography enabling Moore s law in the next decade

Design Rules for Silicon Photonics Prototyping

R&D Status and Key Technical and Implementation Challenges for EUV HVM

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication

REBL: design progress toward 16 nm half-pitch maskless projection electron beam lithography

2009 International Workshop on EUV Lithography

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

What s So Hard About Lithography?

Optical Maskless Lithography - OML

OPC Rectification of Random Space Patterns in 193nm Lithography

Optical Proximity Effects, part 2

Reducing Proximity Effects in Optical Lithography

EUV Interference Lithography in NewSUBARU

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Lithography in our Connected World

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

SEMATECH Defect Printability Studies

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

Optical Microlithography XXVIII

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Lithography. International SEMATECH: A Focus on the Photomask Industry

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Photolithography. References: Introduction to Microlithography Thompson, Willson & Bowder, 1994

EUV Light Source The Path to HVM Scalability in Practice

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

EE-527: MicroFabrication

Transcription:

Multi-Beam activity from the 1980s 1

Panel Discussion Multi-Beam Mask Writer Hans Loeschner IMS Nanofabrication AG Vienna, Austria Jiun Sonja (1718-1805) Buji Kore Kinin Only those who live simply, live nobly Genzō Hattori Collection Apr 18, 2013 Kobori Sōchū (1786-1867) Mei Rekireki Ro Dōdō Everything lies openly before us, plainly and undisguised Genzō Hattori Collection

SMO (Source Mask Optimization) with OPC and ILT 3 Source: Samsung without OPC normal OPC normal ILT ideal ILT Source: Jin Choi et al., Samsung, Photomask Japan 2009 Source: DNP Optical Proximity Correction Inverse Lithography Technology improved DOF Source: Naoya Hayashi, DNP, LithoVision 2012 Source: Naoya Hayashi, DNP

Exposure Dose 4 LWR Monte Carlo simulation results for CD = 30nm 5x 10x For the 11nm HP mask technology node and below the resist exposure dose must be increased by a factor of 5 to 10

VSB vs MB 5 50keV electron Variable Shaped Beam (VSB) Mask Writer # beams: 1 50keV electron Multi-Beam (MB) Mask Writer # beams: 262,144 (512 x 512) Shape size: variable Beam size: fixed, 20nm,10nm,... Current density: 800 A/cm 2 Current density: 1 A/cm 2 / 20nm beam 4 A/cm 2 / 10nm beam Current: 80nA / 100nm square 3.2nA / 20nm square 0.8nA / 10nm square Current: (all beams on ) 1 µa / 20nm beam 1 µa / 10nm beam

MB Mask Writer Tool Principles 6 APS programmable Aperture Plate System Electrostatic Multi-Electrode Accelerating Lens 1st Magnetic Lens Stopping Plate at 2nd Cross-Over Beam Steering Multipole 2nd Magnetic Lens Scanning Stage 5keV 50keV Electron Source Electrostatic Multi-Electrode Condenser Optics Aperture Plate Blanking Plate Electron Beam Projection Optics with 200x reduction Resist coated 6 Mask Blank [8680-19] SPIE - ALT 5 / Feb 26, 2013

emet POC Proof-of-Concept electron Mask Exposure Tool 7 # of programmable beams: 262,144 Data Path: 12.8 Gbits/s Beam energy: 50keV Beam size: 20nm Column Blur: 5nm 1sigma Address grid: 0.1nm Writing: Scanning stage Current: 0.1µA - 1µA TPT: up to 10cm²/h

MB Column Blur: 5nm 1sigma 8 41µm Simulation 120 110 HSQ Experiment 100 CD [nm] 90 80 70 60 Center one image quadrant 41µm 50 500 700 900 1100 1300 1500 1700 1900 2100 2300 2500 Dose [µc/cm ²] Simulated1sigma blur: 5.3nm @ 82µm x 82µm beam arrayfield Measured 1sigma blur (incl. resist): 6.65nm (Center); at Corners: 0.45nm, 0.02nm, 0.045nm, 0.70nm

0.1nm Address Grid by MESA (Multiple Exposure Shot Addressing) 9 Overlapping Shots: Pixel = ¼ Beam Size Every 20nm shot exposed with 4bit = 16 dose levels (0, 1, 2,.15) Beam Size: 20 nm Pixel Size: 5 nm 16 x 15 + 1: 241 dose levels / area 4 x 15 + 1: 61 dose levels / edge

Multi-Beam Writing @ 0.1nm Address Grid 111 100.9nm BACUS 2012 HSQ 110 110 measured 109 pitch 108 108 [nm] 107 measured pitch (nm) pitch 100.0nm 10 106 106 105 104 104 103 102 102 109.0nm 101 109.9nm 100 100 99 99 100.0nm pitch 100.9nm SPIE 2013 PCAR 100 100 101 102 102 103 104 104 105 106 106 107 design pitch (nm) design pitch [nm] 108 108 109 110 110 110 measured pitch 108 [nm] 106 104 102 109.0nm 109.9nm 100 100 102 104 106 108 110 design pitch [nm] 111

Multi-Beam Writing @ 0.1nm Address Grid pitch 100.0nm 11 0,6 0.6 100.9nm BACUS 2012 pitch 0,5 HSQ [nm] 0.23nm 3σ σ 0,4 0.4 0,3 0,2 0.2 0,1 00-0,1-0,2-0.2-0,3 109.0nm -0,4-0.4 109.9nm -0,5-0,6-0.6 99 100.0nm pitch 100.9nm SPIE 2013 PCAR 100 0.6 pitch [nm] H 101 102 103 104 105 106 L 107 108 109 110 pitch [nm] 0.30nm 3σ σ 0.4 0.2 0-0.2-0.4 109.0nm 109.9nm -0.6 100 100 102 102 104 104 106 106 108 108 110 110 pitch [nm] 111

40nm Dots with at slightly modified grid 40nm dots with 80nm pitch 5nm physical grid 12 LCDU = 1.63nm 3sigma 64 shots per dot! Every dot on equivalent grid position 40nm dots with 81nm pitch LCDU = 1.61nm 3sigma

Corner Radius Improvement 13 serifs 5.2nm Corner Pullback 2.9nm Corner Pullback Additional Shots are NOT degrading TPT

Exposure of aggressive OPC Pattern 14 30 nm Design Simulation PCAR 80 nm

Exposure of aggressive OPC Pattern 15 36 nm Design Simulation PCAR 87 nm

Exposure of ILT test pattern 16 ILT design: DNP PCAR PCAR POC01_287_pos121 2-times shrinked Design POC01_287_pos120 60nm features 500nm 30nm features

Realized MB Column: Extendibility to sub-10nm nodes 17 30nm HP in HSQ negative resist 30nm HP in PCAR positive resist 24nm HP in HSQ negative resist 50keV electron multi-beam exposure with 20nm beam size

Realized MB Column: Extendibility to sub-10nm nodes 18 24nm any angle iso lines HSQ negative resist PCAR positive resist 24nm POC01_291_pos102 POC01_292_pos055 50keV electron multi-beam exposure with 20nm beam size

Multi-Beam Mask Writer Roadmap 19 POC ALPHA BETA 1st gen. HVM 2012 2014 2015 2016 Technology Node Test: 11nm HP (7nm Logic) 11nm HP (7nm Logic) 11nm HP (7nm Logic) 11nm HP (7nm Logic) Beam Array Field 82µm x 82µm 82µm x 82µm 82µm x 82µm 82µm x 82µm # Beams 262,144 262,144 262,144 262,144 max Current (all beams on ) Throughput ( 100µC/cm 2 ) 0.1-1 µa 1 µa 1 µa 1 µa <10 cm²/h 15h/mask 10h/mask 10h/ mask

20 Thank You for Your Attention! Kawai Gyukudō (1873-1957) Shōrai Zensei The Rustling of the Pine Tree, the Voice of the Cicada Genzō Hattori Collection