Real time plasma etch control by means of physical plasma parameters with HERCULES

Similar documents
Plasma diagnostic in an inductively coupled plasma using chlorine chemistry

Using Multi Way PCA (MPCA) for Advanced Monitoring and Diagnosis for Plasma Processing based on Optical Emission Spectroscopy

LAM TCP 9400 PTX Silicon Trench Etch Process Monitoring for Fault Detection and Classification

Photoresist erosion studied in an inductively coupled plasma reactor employing CHF 3

Chamber characterization and predictive maintenance of PECVD chamber

Enable Highly-Stable Plasma Operations at High Pressures with the Right RPS Solution

Micro Analytical Instruments - A System Approach. Jörg Müller Institut für Mikrosystemtechnik

System100Pro. Production tools for wafer processing. The Business of Science

PROFILE CONTROL OF A BOROSILICATE-GLASS GROOVE FORMED BY DEEP REACTIVE ION ETCHING. Teruhisa Akashi and Yasuhiro Yoshimura

Recent Trends in Semiconductor IC Device Manufacturing

Photonic Crystal Slot Waveguide Spectrometer for Detection of Methane

State-of-The-Art Dielectric Etch Technology

Production of HPDs for the LHCb RICH Detectors

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

RF Impedance Analyzer

CLUSTERLINE RAD VERSATILE DYNAMIC SPUTTER SYSTEM OPTOELECTRONICS, MEMS, PHOTONICS, WIRELESS

Semiconductor Process Diagnosis and Prognosis for DSfM

Virtual Sensor Based Fault Detection and Classification on a Plasma Etch Reactor

Nanofluidic Diodes based on Nanotube Heterojunctions

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Nitride (SiNx) Using Oxford Instruments System 100 PECVD

A flexible HiPIMS pulser for the latest generation of coatings

Process Analysis and Control of 200 mm Sputter Etch Equipment

Feature-level Compensation & Control

OpticaI=Emissiori Spectroscopy For Plasma Processing

True Three-Dimensional Interconnections

Kalman Filtering Methods for Semiconductor Manufacturing

The HGTD: A SOI Power Diode for Timing Detection Applications

3D SOI elements for System-on-Chip applications

420 Intro to VLSI Design

Fabrication and Characterization of Broad-Area Lasers with Dry-Etched Mirrors

Wireless Metrology in Semiconductor Manufacturing

Dual Magnetron Sputtering of Aluminum and Silicon Oxides for Low Temperature, High Rate Processing Abstract Background

Introduction of ADVANTEST EB Lithography System

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline

Newer process technology (since 1999) includes :

Process Control Limits in a CMOS ASIC Fabrication Process K. Jayavel, K.S.R.C.Murthy

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS

Semiconductor Detector Systems

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

LSI ON GLASS SUBSTRATES

REVISION #25, 12/12/2012

Zpulser LLC. Industry Proven HIPIMS/HPPMS Plasma Generators Based on MPP Technology.

ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs. Lecture Outline

Supplementary Information

Ion energy distributions for collisional ion sheaths at an rf-biased plasma electrode

Power MOSFET Zheng Yang (ERF 3017,

MEMS in ECE at CMU. Gary K. Fedder

Technology for the MEMS processing and testing environment. SUSS MicroTec AG Dr. Hans-Georg Kapitza

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications

A Residual Gas Analyzer for Dry Etching Process

High Power RF MEMS Switch Technology

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi

Infrared Perfect Absorbers Fabricated by Colloidal Mask Etching of Al-Al 2 O 3 -Al Trilayers

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Semiconductor Devices

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS)

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

Innovative Technologies for RF & Power Applications

Transpector 2. Gas Analysis System. NEW-GENERATION RGAs WITH SUPERIOR SENSITIVITY AND PERFORMANCE

Part 5-1: Lithography

Lecture #29. Moore s Law

Si and InP Integration in the HELIOS project

(12) Patent Application Publication (10) Pub. No.: US 2004/ A1

Inline PL Imaging Techniques for Crystalline Silicon Cell Production. F. Korsós, Z. Kiss, Ch. Defranoux and S. Gaillard

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Applications Information

W ith development risk fully borne by the equipment industry and a two-year delay in the main

Statistical Process Control and Computer Integrated Manufacturing. The Equipment Controller

Gallium nitride (GaN)

Lecture 0: Introduction

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Chapter 15 Summary and Future Trends

Gencoa 3G Circular Magnetron

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Real Time Etching End Point Monitors (OES & Interferometer type)

Efficiency & Yield Improvements with Factory-Wide Process Control Software

Sub-mm Linear Ion Trap Mass Spectrometer Made Using Lithographically Patterned Ceramic Plates

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

(a) (d) (e) (b) (c) (f) 3D-NAND Flash and Its Manufacturing Process

Flip-Chip for MM-Wave and Broadband Packaging

Crystal AC Power Supplies: 60, 100, 120, 150, and 180 kw. Mid-frequency sinusoidal power for dualmagnetron

GSPC detectors development for neutron reflectometry and SANS Instruments WP22 / Task 22.2

Investigation of InGaAsP/InP DFB and FP Laser Diodes Noise Characteristic

Chapter 3 Fabrication

Application-Based Opportunities for Reused Fab Lines

CMP for More Than Moore

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Major Fabrication Steps in MOS Process Flow

Christian Boit TUB Berlin University of Technology Sect. Semiconductor Devices. 1

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

6.012 Microelectronic Devices and Circuits

Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors

How material engineering contributes to delivering innovation in the hyper connected world

FinFET vs. FD-SOI Key Advantages & Disadvantages

CMOS Technology. 1. Why CMOS 2. Qualitative MOSFET model 3. Building a MOSFET 4. CMOS logic gates. Handouts: Lecture Slides. metal ndiff.

Mobile Electrostatic Carrier (MEC) evaluation for a GaAs wafer backside manufacturing process

IOLTS th IEEE International On-Line Testing Symposium

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Transcription:

Real time plasma etch control by means of physical plasma parameters with HERCULES A. Steinbach 1) S. Bernhard 1) M. Sussiek 4) S. Wurm 2) Ch. Koelbl 3) D. Knobloch 1) Siemens, Dresden Siemens at International Sematech, Austin TX 3) Siemens, Regensburg 4) Universität Hamburg - Harburg 1) 2) -1- Introduction

Siemens Microelectronics Center Dresden -2- Introduction

Product Portfolio Feature size,35 -,15 µm DRAM 64 Mbit since 1997 256 Mbit since 1998 1 Gbit > 2 Embedded DRAM since 1998 Logic Devices since 1998 Embedded FLASH since 1998 ROS since 1998-3- Introduction

64 Mbit SDRAM Technical Data CMOS - technology Smallest feature size.24 µm (.2 µm) Chip size 62 mm² 7 million transistors (13 million devices) Supply voltage 3.3 V Storage capacity 4 DIN A4 pages PC - 1 compatible -4- Introduction

256 Mbit SDRAM Technical Data CMOS - technology Smallest feature size.2 µm Chip size 175 mm² 28 million transistors (52 million devices) Supply voltage 3.3 V / 2.5 V PC - 1 compatible Storage capacity 16 DIN A4 pages -5- Introduction

SEMICONDUCTOR 3 Joint Venture Siemens / Motorola Development Line 3 mm Part of Module 2 at Siemens Dresden Area: 18 m² Class: 1. Engineers: 15 Operators: 22 Support: 8 Invest: 45 Mio DM Technology:,25 µm -,18 µm CMOS Products: 64 DRAM / 256 DRAM -6- Introduction

Contents Introduction and Basics: Motivation Theory and experimental setup Process applications: Basic measurements at Contact etch Long term process monitoring Short term process monitoring Wafer effects Endpoint detection Optimisation of conditioning Maintenance applications: Chamber and tool comparison Hardware failure detection Arcing detection Production application: Detection of recipe errors Summary: Benefits Outlook -7- Introduction and Basics

Our way of plasma processing today an effective way? Process parameters Black Box power Process results etch called plasma rate pressure uniformity processing B field selectivity gas and statistical methods in process development - Experience flow - Time consuming Process Monitoring and toolparticles control...... using many test wafers - Statistical Process Control (SPC) -8- Introduction and Basics

The way out: Switch from SPC to APC Statistical process control (SPC) Single wafer control by real time sensors (monitoring) and model based analysis Sample based Advanced Process Control (APC) Continuously -9- Introduction and Basics

Measurement Techniques for in-situ real time Plasma Monitoring rf probe rf voltage rf current power Process parameters external power pressure B field gas flow body temp. Ion flux probe j (wall) + Process parameter rf voltage (wafer) rf current bias voltage effective power Chamber parameters surface temp. polymer e.g. gas ad / desorption depending on ion current We begin to measure! Plasma excitation Power balance and potential distribution electron collision rate, electron energy distribution electron density plasma potential bulk power Hercules ion density ion temperature neutral densities neutral temp. excitations Wafer Surface ion energy ion current radiation neutral flows (radicals) surface temp. layer thickness OES k*i(λ ) Process Results external measured etch rate uniformity selectivity particles Interferometry Reflectence spectroscopy layer thickness ne, ν e, PBulk Species in the volume - 1 - Introduction and Basics

Basic HERCULES Model High Frequency Electron Resonance Current Low Pressure Spectroscopy - 11 - Introduction and Basics

Principle and experimental setup rf current rf voltage FFT Algorithm Model SEERS Electron collision rate Electron density Bulk power DC bias voltage - Passive electrical method, no impact on the plasma - Integral measurement - 12 - Introduction and Basics

SEERS provides reciprocally averaged parameters Self Excited Electron Resonance Spectroscopy - 13 - Introduction and Basics

HERCULES Sensor Types Sensor surface = anodized aluminum, similar to chamber wall - 14 - Introduction and Basics

Correlations between plasma parameters and process parameters: CT etch at MxP+ Electron collision rate vs. CF4 flow 9 7 5 12 14 16 18 7.4 9.6 7.2 9.5 7. 6.8 s -1 ] 9.4 pressure [mtorr] Variation of physical process parameters, e.g. pressure, rf power monotonous response, partly linear correlations 9.7 7 7.6-1 7 collision rate patterned patterned [1 blank blank [1 s ] 11 9.8 blank patterned collisison rate 7.8 7-1 collision rate [1 s ] Electron collision rate vs. pressure 1 2 3 4 CF4 flow [sccm] Variation of chemical process parameters, e.g., flow of reactive gases often strong nonlinear effects - 15 - Process Applications

Correlations between electron density and gas flows: CT etch an MxP+ Electron density vs. CHF3 flow Electron density vs. CF4 flow 11. -3 blank 1.8 patterned 8 8 11. density [1 cm ] blank patterned -3 density [1 cm ] 11.5 1.5 1. 1.6 1.4 1.2 1 2 3 4 5 CF4 flow [sccm] CF4, CHF3, Ar, O2 chemistry: 7 9 CHF3 [sccm] 11 CF4- or CHF3- flow increases higher F- concentration electron density decreases - 16 - Process Applications

Correlations between plasma parameters and etch results: CT etch at MxP+ Contact angle vs. electron density 68 9 CHF3 Variation CF4 Variation 66 64 62 6 1.2 increasing gas flow 1.4 1.6 1.8 11. 11.2 11.4 contact angle [ ] etch rate [nm / min] Etch rate vs. electron density 88 CHF3 Variation increasing gas flow 84 1.3 8 1.5 1.7 1.9 11.1 8 electron density [1 / cm ³] CF4- flow increases: CF4 Variation 86 electron density [1 / cm ³] higher concentration of F, CF2 radicals and ions higher etch rate and steeper contact angle CHF3- flow increases: higher F, CF2 - concentration higher etch rate higher CHFx- concentration higher polymerization, less steeper contact angle - 17 - Process Applications

Long term process stability: Tool related effects on CT etch at MxP+ 7-1 collision rate [1 s ] Collision rate vs. rf hours WC1 WC3 WC5 9.5 - Wet clean (WC) depending drift effect, hardware reason not found yet: WC2 WC4 8.5 - WC1, WC3 chamber drift 7.5 one point - one lot 6.5 5 1 15 2 25 3 35 4 45 rf hours - WC2, WC4, WC5 stable chamber conditions on varying level Process monitoring of product wafers for 5 wet clean cycles, more than 6 months - 18 - Process Applications

Long term conditioning effect: CT etch at MxP+ Step 1 2 Pr1 BPSG etch -- 3 -- 12 7-1 collision rate [1 s ] Electron collision rate vs. rf hours 11 Pr1 BPSG Pr2 BPSG Pr2 Nitride Pr3 Oxide 1 9 one point - one wafer 8 2 4 6 8 1 rf hours [h] Process monitoring of 3 products covering the period between two wet cleans. - 19-12 Pr2 N2 / O2 step BPSG etch Nitride etch Process 2: deconditioning caused by steps 1 and 3 Electron collision rate is very sensitive to etch chemistry Process Applications

Short term chamber drift: CT etch at MxP+ Electrical failure counts at contact etch 9.9 bad chamber failure counts Idle time min 45 min 5h 1.1 7-1 collision rate [1 s ] Electron collision rate vs. wafer 9.7 9.5 4 8 12 16 2 24 wafer one point - one wafer wafer one point - one wafer - Collision rate shows dependence on chamber idle time. - Constant chamber conditions after about 4 min! - In some cases a change in electron collision rate corresponds to a change in electrical failure counts. - 2 - Process Applications

First wafer effect: Al etch at LAM TCP Al etching in Cl2 - first wafer effect - LAM TCP 96 Product wafer - resist mask on Al (appr. 5%) electron density [1/cm 3 ] 8.19 main etch 7.19 Endpoint limited process: First wafer effect in main etch is connected with higher etch time, lower etch rate. first wafer. 9 6 1 5.19 second 4.19 third wafer 3.19 4 8 process time [s] 12 16-21 - Process Applications

Wafer effects - Monitoring of lot mean values: CT etch at MxP+ Electron density depends on: electron density [1 8/cm3] Electron density vs. rf hours 1.5 - hard ware effect: WC3 - WC4 WC3 WC4 1. 9.5 one point - one lot 9. 2 25 3 35 rf hours [h] - 22 - - wafer effect: product 1 product 2 the same etch process is used on two different products with different open area Process Applications

Wafer effects - Monitoring of wafer mean values: Contact etch at MxP+ Electron collision rate vs. wafer 11.5 1.5 9.5 8.5 5 1 15 2 12.5-1 in July 1998 7 7 collision rate [1 s ] 12.5-1 collision rate [1 s ] Electron collision rate vs. wafer 11.5 1.5 9.5 in August 1998 8.5 25 5 1 25 Electron density vs. wafer Electron density vs. wafer 17 / cm³] 17 in July 1998 16 8 15 14 density [1 8 2 wafer wafer density [1 /cm³] 15 13 12 16 Single wafer control of process stability and pre-processes 15 14 13 12 in August 1998 11 11 5 1 15 2 25 wafer 5 1 15 wafer - 23-2 25 In every diagram one point - one wafer Process Applications

Wafer effects - Monitoring of time resolved values: Contact etch at MxP+ Electron collision rate vs. etch time collision rate 7-1 [1 s ] Time resolved values show wafer dependent process variations, see wafer 6,7,8. 12.5 12. 11.5 11. 1.5 1. Electron density vs. etch time 9.5 r 25 Wafe 9. 11 1 wafer 14... 25 5 51 wafer 6,7,8 W 1 afe r 1 15 density etch time [s] 8 [1 / cm³] 14 13 Electron collision rate and electron density detect different process variations, see wafer 14-25. r Wafe 25 12 11 11 1 wafer 14... 25 51 wafer 6,7,8-24 - 5 r1 Wafe etch time [s] 1 Process Applications

Comparison of HERCULES and AMAT HOT Pack results: Contact etch at MxP+ Hercules AMAT HOT Pack AMAT HOT Pack mean optical emission intensity of contact main etch vs. wafer Electron collision rate and electron density of contact main etch vs. wafer 68 13 1 one point one wafer collision rate 12 density 9 11 5 1 15 2 25 intensity [arbitrary units] 14 11 8 15 density [1 / cm³] 12 7-1 collision rate [1 s ] 16 64 6 56 one point one wafer CO CN 52 5 1 15 2 25 wafer wafer - All measured parameters detect wafer dependent process variations. - No correlation to stable and high yield at this process - Process is robust, measurements are very sensitive. - 25 - Process Applications

Endpoint detection: Al etch at LAM TCP Endpoint signal of main etch caused by 15 nm Ti layer below the- Al layer. Al etching with/without barrier (TiN, Ti) - LAM TCP 96 each curve averaged from five testwafers break through (Al2O3) 4.17 collision rate [1/s] 3 step recipe: Break through Main etch Over etch 5.17 3.17 Ti layer 2.17 8 nm AlSiCu 17 SiO2 with TiN (1 nm), Ti (15 nm) 2 4 6 8 process time [s] 1 12 Joint project Siemens - ASI - Lam - 26 - Process Applications

Optimization of conditioning: CT etch at MxP+ - Wet clean at 11.7 rf hours - Effect of chamber clean shows up in the electron collision rate - About 1 wafers are necessary to reach stabile chamber conditions again 8.5-1 collision rate [1 s ] Electron collision rate vs. rf hours 7 one point - one wafer 7.5 6.5 5.5 11. 11.5 111. 111.5 rf hours [h] 1.5 Non - Productive Wafer reduction one point - one wafer 1. 8-3 density [1 cm ] - Optimization of conditioning procedures Electron density vs. rf hours 112. 9.5 9. 8.5 11. 11.5 111. 111.5 112. rf hours [h] - 27 - Process Applications

Evaluation of shadow rings at MxP Electron collision rate vs. etch time Parameter Collision rate [1 7 s -1] 15 Conditioning with resist wafers 13 11 Nitride etch rate Wafer temp. Inverse ring temp. El. collision rate Electron density Inverse ratio of the cathode areas 9 7 5 3 5 1 15 time [s] Chamber A, quartz ring Chamber A, Si ring Ratio Si ring / Quartz ring.66.71.78.69.55.59 Chamber B, Si ring Comparison at chamber A: - Quartz ring isolating - Si ring rf conducting increase of effective cathode area decrease of rf power density - 28 - Maintenance Applications

Tool and chamber comparison at MxP Electron collision rate vs. etch time Etch rate test of Nitride etch at MxP 8 Chamber B, Si ring etch rate [nm / min] collision rate [1 7 s -1] Chamber A, Si ring 7 6 5 4 Conditioning with resist wafers 3 5 1 15 38 36 34 32 Chamber A 3 1 1 98 16 1 98 - Nitride etch rate ratio correlates with plasma parameter ratios. - Lower etch rate caused by lower power density. 31 1 98 one point one wafer 15 11 98 date time [s] Comparison of Chamber A and Chamber B with Si shadow ring. Chamber B Parameter Ratio Ch A/ Ch B Nitride etch rate 1,17 Electron collision rate 1,19 Electron density 1,2 Bulk power 1,21-29 - Maintenance Applications

Detection of tool failure: Al etch at LAM TCP Cl2 - MFC failure was detected before hardware alarm. Monitoring of main clean Al etching - trend analysis main etch - LAM TCP 96 8.19 14 3.5.17 1 8 6 4 7.2.19 6.4.19 5.6.19 3.17 one point one lot quick clean Cl2-MFC error 2.17 main clean 4.8.19 1.5.17 4.19 3.2.19 364 2.5.17 17 371 378 385 Lot No. 392 399 collsion rate [1/s] optical emission (EP) *3 etch time [s] 12 electron density [1/cm3] Cl2-MFC drift/error 5.16 46 Joint project Siemens - ASI - Lam Trend analysis of Al main etch - 3 - Maintenance Applications

Detection of tool failure: CT etch at MxP+ Bulk power vs. rf hours Higher mean values and higher variance of dissipated power (also electron collision rate and electron density. bulk power [mw/cm²] 4 Product Resist blank Si blank 3 Oxide blank 2 Caused by process instabilities. one point one lot 1 2 4 6 8 1 rf hours bulk power [mw / cm²] Reason: Parasitic plasma inside the He feedthrough of the wafer backside cooling, below the powered electrode He leakage. Bulk power vs. etch time 5 4 3 2 5 1 15 2 time [s] - 31 - Maintenance Applications

Comparison of electron collision rate & etch rate 7-1 collision rate [1 s ] Electron collision rate vs. date 11.5 1.5 WC1 WC3 WC5 Electron collision rate (also electron density and bulk power), measured on product wafers, detect the hardware failure. WC2 WC4 WC6 9.5 8.5 7.5 6.5 1 6 98 21 7 98 9 9 98 29 1 98 18 12 98 Oxide etch rate, measured on blank test wafers does not show any significant variation. etch rate [nm/min] Etch rate vs. date 72 7 WC1 WC3 WC5 68 66 64 62 1 6 98 WC2 WC4 WC 6 21 7 98-32 - 9 9 98 29 1 98 18 12 98 Maintenance Applications

Arcing detection: Conditioning of emxp+ Electron collision rate (mean) 7-1 collision rate [1 s ] 3. Electron collision rate was the most sensitive of all measured parameters including reflected power. one point one wafer 2.8 2.6 2.4 2.2 2. 2 4 6 8 1 12 Electron collision rate vs. time wafer 25 wafer 9 6-1 collision rate [1 s ] 3 Heavy arcing detected between e - chuck and wafer backside. 2 15 1 5 2 4 6 8 1 12 14 time [s] - 33 - Maintenance Applications

Recipe control: CT etch at MxP+ 7-1 collision rate [1 s ] Electron collision rate vs. time Three product lots were etched with the test recipe for etch rate measurement to short, scrap. This error was not detected by any other control system. 8 product 7 6 5 blank oxide 4 3 2 4 6 time [s] - Electron collision rate differs on blank oxide and product wafers. - Hercules measures the etch time independently. - With an automatic alarm, scrap can be reduced to one wafer! - 34 - Production Applications

Demonstrated applications of HERCULES - Long term process stability Short term process stability Detection of wafer effects Development and optimization of processes Endpoint detection Error prevention, no scraped lots Tool and chamber matching Monitoring of chamber cleaning Control of power coupling into plasma Detection of hardware failures Arcing detection - 35 - Summary

Demands on process monitoring tools for industrial applications - Insensitive to insulating layers, e.g. polymers Independent of chemistry (different neutrals, neg. ions) Passive method, no impact on the plasma Applicable to existing tools Measurement of absolute parameters Real time analysis during the process Very high stability and reliability Easy to handle, plug and play tool Stable connection to fab network and data base Use of standard software for further analysis Minimise the quantity of data Cause only a minimum of additional work to the staff! - 36 - Summary

Estimated Benefits Parameter Value (estimated) - Reduction of scrap lots: - Yield improvement at critical processes: Reduction of test wafers and test time for: etch rate particle monitor - Increase of OEE - Improvement of preventive maintenance Increase of MTBC - 37 - about 5 % in the order of... 5 % 1... 5 %... 2 %... 3 %... 1 %... 25 % Summary

Outlook - Installation of HERCULES cluster tool at four chambers, long term monitoring of chambers and processes - Software improvements: reliable connection to fab network and data base - Measurements on other tools and processes: LAM TCP, AMAT DPS, TEL - Comparison with other measuring techniques, e.g. OES - 38 - Summary