Synthesis of Silicon. applications. Nanowires Team. Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr)

Similar documents
Silicon nanowires synthesis for chemical sensor applications

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

Major Fabrication Steps in MOS Process Flow

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

MEMS in ECE at CMU. Gary K. Fedder

Vertical Surround-Gate Field-Effect Transistor

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

EE 5611 Introduction to Microelectronic Technologies Fall Thursday, September 04, 2014 Lecture 02

Semiconductor Physics and Devices

按一下以編輯母片標題樣式. Novel Small-Dimension Poly-Si TFTs with Improved Driving Current and Suppressed Short Channel Effects. Hsiao-Wen Zan and Chun-Yen Chang

Nanofluidic Diodes based on Nanotube Heterojunctions

Topic 3. CMOS Fabrication Process

IMAGING SILICON NANOWIRES

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

Chapter 3 Fabrication

College of Engineering Department of Electrical Engineering and Computer Sciences University of California, Berkeley

Reconfigurable Si-Nanowire Devices

420 Intro to VLSI Design

Nanostencil Lithography and Nanoelectronic Applications

End-of-line Standard Substrates For the Characterization of organic

Micro-sensors - what happens when you make "classical" devices "small": MEMS devices and integrated bolometric IR detectors

State-of-the-art device fabrication techniques

Lecture 0: Introduction

CSCI 2570 Introduction to Nanocomputing

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Investigating the Electronic Behavior of Nano-materials From Charge Transport Properties to System Response

National Centre for Flexible Electronics

EE C245 / ME C218 INTRODUCTION TO MEMS DESIGN FALL 2011 PROBLEM SET #2. Due (at 7 p.m.): Tuesday, Sept. 27, 2011, in the EE C245 HW box in 240 Cory.

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Low-power carbon nanotube-based integrated circuits that can be transferred to biological surfaces

INTRODUCTION TO MOS TECHNOLOGY

Raman Spectroscopy and Transmission Electron Microscopy of Si x Ge 1-x -Ge-Si Core-Double-Shell Nanowires

Session 3: Solid State Devices. Silicon on Insulator

Supporting Information. Air-stable surface charge transfer doping of MoS 2 by benzyl viologen

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

LSI ON GLASS SUBSTRATES

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

+1 (479)

INTRODUCTION: Basic operating principle of a MOSFET:

Supplementary Materials for

High-yield Fabrication Methods for MEMS Tilt Mirror Array for Optical Switches

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Organic Electronics. Information: Information: 0331a/ 0442/

2.8 - CMOS TECHNOLOGY

CMP for More Than Moore

Supplementary Figures

Electronic sensor for ph measurements in nanoliters

SUPPLEMENTARY INFORMATION

3D SOI elements for System-on-Chip applications

The Department of Advanced Materials Engineering. Materials and Processes in Polymeric Microelectronics

High-Ohmic Resistors using Nanometer-Thin Pure-Boron Chemical-Vapour-Deposited Layers

SYNTHESIS AND ANALYSIS OF SILICON NANOWIRES GROWN ON Si (111) SUBSTRATE AT DIFFERENT SILANE GAS FLOW RATE

MOSFET & IC Basics - GATE Problems (Part - I)

VLSI Design. Introduction

Chapter 15 Summary and Future Trends

Supplementary Information

Coating of Si Nanowire Array by Flexible Polymer

Nanotechnology, the infrastructure, and IBM s research projects

The Design and Realization of Basic nmos Digital Devices

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

VLSI Design. Introduction

Sensitive Continuous Monitoring of ph thanks to Matrix of several Suspended Gate Field Effect Transistors. Introduction

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Characterization of SOI MOSFETs by means of charge-pumping

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

A COMPARITIVE ANALYSIS ON NANOWIRE BASED MEMS PRESSURE SENSOR

SAMPLE SLIDES & COURSE OUTLINE. Core Competency In Semiconductor Technology: 2. FABRICATION. Dr. Theodore (Ted) Dellin

High throughput ultra-long (20cm) nanowire fabrication using a. wafer-scale nanograting template

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Notes. (Subject Code: 7EC5)

Parallel Alignment of Nanowires for Fast Fabrication of Nanowire Based Gas Sensors

Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation

Photolithography I ( Part 1 )

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

High-Speed Scalable Silicon-MoS 2 P-N Heterojunction Photodetectors

Deliverable 4.2: TEM cross sections on prototyped Gated Resistors

Electrothermal Actuator

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate

Class Website: p b2008.htm

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

Gigahertz Ambipolar Frequency Multiplier Based on Cvd Graphene

Wafer-level Vacuum Packaged X and Y axis Gyroscope Using the Extended SBM Process for Ubiquitous Robot applications

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

A large-area wireless power transmission sheet using printed organic. transistors and plastic MEMS switches

4.1 Device Structure and Physical Operation

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Key Questions. ECE 340 Lecture 39 : Introduction to the BJT-II 4/28/14. Class Outline: Fabrication of BJTs BJT Operation

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Chapter 3 Basics Semiconductor Devices and Processing

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

Contents. Nano-2. Nano-2. Nanoscience II: Nanowires. 2. Growth of nanowires. 1. Nanowire concepts Nano-2. Nano-2

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor.

New Pixel Circuits for Driving Organic Light Emitting Diodes Using Low-Temperature Polycrystalline Silicon Thin Film Transistors

Highly efficient SERS nanowire/ag composites

Transcription:

Synthesis of Silicon nanowires for sensor applications Anne-Claire Salaün Nanowires Team Laurent Pichon (Pr), Régis Rogel (Ass.Pr), Anne-Claire Salaün (Ass. Pr) Ph-D positions: Fouad Demami, Liang Ni, Gertrude Godem-Wenga 1 CMC2 - November FRONTIER-2009, 25, 2010 - Barcelona, Nov Spain29-Dec 3, 2009, Sendai, Japan

IETR - Institut d Electronique et de Télécommunications de Rennes FRANCE Rennes 2

IETR - Institut d Electronique et de Télécommunications de Rennes FRANCE Microelectronics and Microsensors Department Two research teams involved Devices Development of process fabrication Microsensors Development of innovative sensors Research fields covered: Competences and know-how Micro/nano electronics Microtechnology/Microfluidic Sensors Microsystems and systems Electronic on plastic substrates Synthesis of Si related materials (thin films, nano-objects) Si & Ge low temperature technology on flexible substrate Actuation and detection techniques Chemical and biological detection 3

Introduction Context Owing to their physical and electrical properties, silicon nanowires represent a promising material with strong potential large variety of applications in future nanoelectronic devices Fabrication of innovative devices based on silicon nanowires with remarkable electronic properties. New topic in the lab Our objectives Synthesis of silicon nanowires Study and optimization of their electrical performances Fabrication of electronic devices (resistors, field-effect transistors) Development of innovative micro-sensors (chemical, biological) 4

Silicon nanowires Benefits of silicon nanowires (SiNWs) High surface / volume ratio: surface phenomena predominate Possibility of surface functionalization for biological applications Development of nanosensors with high sensitivity Compatibility with CMOS technologies Silicon nanowires synthesis: 2 approaches Top-down Approach Bottom-up Approach Starts from bulk materials and scales down the patterned areas Growth technique from molecular precursors using nanoparticles as catalysts t Optical and x-ray lithography E-beam and ion-beam lithography Scanning probe lithographyh Printing and imprinting Layer-by-layer self assembly Molecular self assembly Direct assembly Coating and growth 5

Outline Introduction Context Benefits of silicon nanowires (SiNWs) Silicon nanowires: bottom-up approach Synthesis method Fabrication of silicon nanowires based resistors Electrical l characterization ti Chemical species detection Silicon nanowires: top-down approach Synthesis method Fabrication of silicon nanowires based resistors Fabrication of nanowires Thin Film Transistors (SiNW-TFT) Electrical characterization Chemical species detection 6

SiNW synthesis : Bottom-up Bottom-up approach Nanowire synthesis is achieved by a vapor-liquid-solid (VLS) process A droplet of a liquid catalyst is put in contact with gaseous precursor molecules. SiNWs are synthesized using gold (Au) as metal catalyst and silane (SiH 4 ) as precursor gas in a hot wall LPCVD reactor. 7

SiNW synthesis : Bottom-up The diameter of the nanowire, grown by the VLS process, is given by the diameter of the gold nanoparticles. Nanowire Au Gold deposited by thermal evaporation (thickness below 5 nm), Growth in LPCVD reactor with silane at 480 C (pressure: 40Pa) Diameter : about 100 nm, various orientation, length can exceed 10 µm 8

SiNW synthesis : Bottom-up Advantage of the VLS method: Possibility of selective doping (n- or p-type) of the nanowires, by controlled injection of the dopant precursor gas High quality single crystalline nanowires with well-controlled composition and electronic properties Challenges: Controlling diameter and orientation of the nanowires which impede the success of the fabrication of nanowire arrays with high degree of reproducibility. 9

Fabrication of nanowires based resistors SiNW synthesis : Bottom-up SiO 2 Highly in-situ doped polysilicon First mask Definition of the comb shape Electrodes geometry on a SiO 2 capped substrate Silicon substrate Au Second mask Thin film Au local deposition after lift-off technique nanowires Growth of SiNWs by LPCVD. Silicon nanowire network: used to interconnect electrodes Silicon substrate or glass substrate (maximum process temperature 600 C) 10

SiNW synthesis : Bottom-up Different interdigitated structures can be achieved, varying number of teeth and local deposition of gold 1,0x10-8 Au thickness : 5nm 5,0x10-9 Current (A) 0,0-5,0x10-9 11-1,0x10-8 -1,0-0,5 0,0 0,5 1,0 Voltage (V)

SiNW synthesis : Bottom-up Thin Film Transistors with Nanowires Output characteristics 1,5x10-7 Source Drain 1,0x10-7 VG=10V ds I 5,0x10-8 VG=0V 0,0 VG=-20V Highly doped silicon substrate Gate 2 3 4 5 6 Vds Field effect but bad electrical contact Surface sensitive to charges in ambiance 12

Silicon Nanowire as gas sensor SiNW synthesis : Bottom-up Main interest of SiNWs rests on their high surface that can be sensitive to charges SiNWs device exposed to smoke SiNWs device exposed to ammonia 1,0x10-7 smoke 10 10 vacuum t (A) Current 8,0x10-8 6,0x10-8 4,0x10-8 air Reversible trend Resistan nce ( ) 10 9 10 8 2,0x10-8 air 0,0-250 0 250 500 750 100012501500175020002250 Time (sec) 21 min NH 10 7 3 0 5 10 15 20 25 30 Time (min) ammonia and smoke may act as chemical gates: species act as electrons donor (reducing agents) at the SiNWs surface. positively charged gas molecules binded on SiNWs surface can modulate the conductance 13

Outline Introduction Context Benefits of silicon nanowires (SiNWs) Silicon nanowires: bottom-up approach Synthesis method Fabrication of silicon nanowires based resistors Electrical l characterization ti Chemical species detection Silicon nanowires: top-down approach Synthesis method Fabrication of silicon nanowires based resistors Fabrication of nanowires Thin Film Transistors (SiNW-TFT) Electrical characterization Chemical species detection 14

SiNW synthesis : Top-down Top-down approach starts from bulk materials and scales down the patterned areas Advantages high-yield, high-uniformity, and well-aligned production of nanowires this approach is more promising for mass production of highly uniformed nanowire arrays and nanowire-based devices. Sidewall spacer formation technique This technique uses anisotropic dry etching Low cost (does not require the use of high cost lithographic techniques) Compatible with conventional CMOS technology Benefits of excellent homogeneity and reproducibility of conformal CVD processes 15

SiNW synthesis : Top-down Fabrication of silicon nanowires by the sidewall spacers formation technique RIE etching Polysilicon nanowires RIE etching Undoped polysilicon Si poly N + Silicon oxide APCVD oxide Monocristalline substrate 16

SiNW synthesis : Top-down Optmization of the steepness: dry etching parameters (pressure, power) N + Si poly oxide Oxide Accurate control of the Si-poly etching : Nanowire substrate Nanowires with curvature radius ~ 50 nm 17

SiNW synthesis : Top-down Fabrication of Nanowires resistors Nitride LPCVD Undoped Si- poly Current (A) 2,0x10-8 1,5x10-8 3 µm 5 µm 1,0x10-8 10 µm 20 µm 5,0x10-9 0,0-1,0-0,5 0,0 0,5 1,0-5,0x10-9 V oltage (V) N+ Si-poly APCVD oxide -1,0x10-8 -1,5x10-8 -2,0x10-8 Current versus voltage for 4lengths of silicon nanowires Thermal oxide Silicon nanowires 18 Si substrate

Silicon Nanowire as gas sensor SiNW synthesis : Top-down SiNWs device exposed to smoke SiNWs device exposed to ammonia 10 11 smoke exposure 10 13 NH 3 exposure Resista ance ( ) 10 10 10 9 10 8 10 7 Res sistance ( ) 10 12 vacuum 10 11 10 6 10 5 vacuum vacuum 0 10 20 30 40 50 60 Time (min) 10 10 0 10 20 30 40 50 60 70 80 Time (min) Ammonia and smoke may act as chemical gates: donor of electrons Carrier transport strongly depends on structural nanowires defects (polysilicon grain boundaries) Gas molecules adsorbed may play a significant role in decreasing the potential barrier height at the grain boundaries between two grains 19

SiNW synthesis : Top-down Nanowires Field effect transistors using sidewall spacer process nanowires Vgs = 0V 3,5x10-8 Vgs = 1V Vgs = 2V 3,0x10-8 Vgs = 3V Vgs = 4V 2,5x10-8 S D Ids (A) 2,0x10-8 1,5x10-8 1,0x10-8 G N + Substrate 5,0x10-9 00 0,0-5,0x10-9 1E-7 0 1 2 3 4 Vds (volts) 1E-8 Ids (A) 1E-9 Vds = 4V 1E-10 1E-11 11-10 0 10 20 30 40 Vgs (volts) 20

SiNW synthesis : Top-down To increase the surface APCVD oxide Nanowire Nitride Sacrificial oxide process 21

Conclusions and future directions Nanowires synthesis Feasibility of silicon nanowires for both synthesis methods explored Electrical behavior show good potential for electronic devices Curvature radius has to be lowered to observe the nanometric size effects on the electrical behaviour Future directions Detection of chemical and biological species (areas of healthcare, life sciences) Nanowires: new opportunities in this interdisciplinary area diameters comparable to those of the biological/chemical species being sensed. Devices based on nanowires: ultrasensitive electrical sensors for the detection of biological and chemical species. - ability to bind analytes on their surface - direct electrical detection (without using labels) - low concentrations of DNA, proteins or viruses -rapid analysis of these species 22

Conclusions and future directions conductance time Detection of DNA-hybridization P-type FET : the binding of target molecules (negative charges) leads to an accumulation of holes in the nanowire: conductance Probe binding No-complementary targett (no hybridization) Complementary Target (hybridization) 23

Conclusions and future directions Detection of proteins Antibody receptor Nanowire modified with specific surface receptors immobilization of antibodies binding of antigens Binding of a protein Biological sensors Specific sensing achieved by linking a recognition group to the surface of the nanowire The nanowire surface can be modified with a variety of linker molecules (bioaffinitive agents): functionalization Significant signal changes with the binding of molecules SiNWs development could significantly impact areas of electronics, genomics, biomedical diagnostics, drug discovery... 24

Thank you for your attention 25