Simulation technique for noise and timing jitter in phase locked loop

Similar documents
Experimental Results for Low-Jitter Wide-Band Dual Cascaded Phase Locked Loop System

NRZ DPLL CMOS Frequency Synthesizer Using Active PI Filter

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

Noise Analysis of Phase Locked Loops

Choosing Loop Bandwidth for PLLs

Design of Phase Locked Loop as a Frequency Synthesizer Muttappa 1 Akalpita L Kulkarni 2

High-speed Serial Interface

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

Digital Waveform with Jittered Edges. Reference edge. Figure 1. The purpose of this discussion is fourfold.

Enhancing FPGA-based Systems with Programmable Oscillators

Phase Locked Loop Design for Fast Phase and Frequency Acquisition

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER

FFT Analysis, Simulation of Computational Model and Netlist Model of Digital Phase Locked Loop

/$ IEEE

Design of CMOS Phase Locked Loop

Integrated Circuit Design for High-Speed Frequency Synthesis

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

Phase-Locked Loop Engineering Handbook for Integrated Circuits

VLSI Broadband Communication Circuits

Low Phase Noise CMOS Ring Oscillator VCOs for Frequency Synthesis

Glossary of VCO terms

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems

Self Biased PLL/DLL. ECG 721 Memory Circuit Design (Spring 2017) Dane Gentry 4/17/17

AN255. REPLACING 622 MHZ VCSO DEVICES WITH THE Si55X VCXO. 1. Introduction. 2. Modulation Bandwidth. 3. Phase Noise and Jitter

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

Section 8. Replacing or Integrating PLL s with DDS solutions

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Characterize Phase-Locked Loop Systems Using Real Time Oscilloscopes

Lecture 7: Components of Phase Locked Loop (PLL)

NEW WIRELESS applications are emerging where

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission.

Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Chlorophyll a/b-chlorophyll a sensor for the Biophysical Oceanographic Sensor Array

Lecture 3. FIR Design and Decision Feedback Equalization

Lecture 11. Phase Locked Loop (PLL): Appendix C. EE4900/EE6720 Digital Communications

ECEN620: Network Theory Broadband Circuit Design Fall 2012

PLL & Timing Glossary

EE470 Electronic Communication Theory Exam II

Synchronization. EE442 Lecture 17. All digital receivers must be synchronized to the incoming signal s(t).

A 5.99 GHZ INDUCTOR-LESS CURRENT CONTROLLED OSCILLATOR FOR HIGH SPEED COMMUNICATIONS

Low Jitter Circuits in Digital System using Phase Locked Loop

DESIGN AND ANALYSIS OF PHASE-LOCKED LOOP AND PERFORMANCE PARAMETERS

Low Power Phase Locked Loop Design with Minimum Jitter

Design of CMOS Adaptive-Bandwidth PLL/DLLs: A General Approach

The Effects of Crystal Oscillator Phase Noise on Radar Systems

Phase Locked Loop using VLSI Technology for Wireless Communication

Lecture 3. FIR Design and Decision Feedback Equalization

High quality standard frequency transfer

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

Design of Analog CMOS Integrated Circuits

ECEN620: Network Theory Broadband Circuit Design Fall 2014

Technical Introduction Crystal Oscillators. Oscillator. Figure 1 Block diagram crystal oscillator

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

MAX2769/MAX2769C PLL Loop Filter Calculator User Guide UG6444; Rev 0; 6/17

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

This article examines

Sophomore Physics Laboratory (PH005/105) Analog Electronics Phase Locked Loop (PLL)

Design and Analysis of a Second Order Phase Locked Loops (PLLs)

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

Low-Jitter, 8kHz Reference Clock Synthesizer Outputs MHz

THE BASIC BUILDING BLOCKS OF 1.8 GHZ PLL

EVLA Memo 105. Phase coherence of the EVLA radio telescope

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

Optimization of Digitally Controlled Oscillator with Low Power

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

Ten-Tec Orion Synthesizer - Design Summary. Abstract

IN propagation path between the satellite and

Phase-Locked Loops. Roland E. Best. Me Graw Hill. Sixth Edition. Design, Simulation, and Applications

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

PLL Synchronizer User s Manual / Version 1.0.6

UNIT 2. Q.1) Describe the functioning of standard signal generator. Ans. Electronic Measurements & Instrumentation

LINEAR IC APPLICATIONS

Low Noise Oscillator series LNO 4800 B MHz

AN17: Application Note

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator*

DESIGNING A NEW RING OSCILLATOR FOR HIGH PERFORMANCE APPLICATIONS IN 65nm CMOS TECHNOLOGY

Design and Implementation of PLL for Frequency Demodulation

BPSK System on Spartan 3E FPGA

ECEN620: Network Theory Broadband Circuit Design Fall 2014

HF Receivers, Part 3

f o Fig ECE 6440 Frequency Synthesizers P.E. Allen Frequency Magnitude Spectral impurity Frequency Fig010-03

CH85CH2202-0/85/ $1.00

RFID Systems: Radio Architecture

A Wide-Range Delay-Locked Loop With a Fixed Latency of One Clock Cycle

A design method for digital phase-locked loop Ru Jiyuan1,a Liu Yujia2,b and Xue Wei 3,c

Design of Low-Phase-Noise CMOS Ring Oscillators

NJ88C Frequency Synthesiser with non-resettable counters

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

During most of the race, each car is on its own and free to pass the other and lap the other. This is analogous to the PLL in an unlocked state.

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

Clock Tree 101. by Linda Lua

Transcription:

Simulation technique for noise and timing jitter in phase locked loop A.A TELBA, Assistant, EE dept. Fac. of Eng.King Saud University, Atelba@ksu.edu.sa J.M NORA, Associated Professor,University of Bradford, J.M.Noras@bradford.ac.uk Mabou El Ela Professor, EE dept. Fac. of Eng.King Saud University, abouelela56@yahoo.com B.MASHARY Assistant Professor, EE dept. Fac. of Eng.King Saud University, Bmashary@ksu.edu.sa Abstract : Timing jitter is a concern in high frequency timing circuits. Its presence can degrade system performance in many high-speed applications. In this paper, a new method for efficiently timing jitter due to phase locked loops is described. Two important parameters, absolute jitter and cycle-to-cycle jitter, used to describe jitter performance can be analyzed. Simulation results for the measurement of jitter in phase locked loop using MATLAB SIMULINK are presented. The methodology described is also applicable to other types of clock generator and oscillators such as LC oscillators, as well as other kinds of noise source such as power supplies. Index Terms - key words Jitter, oscillator noise, oscillator stability, phase jitter, phase locked loops, phase noise, voltage controlled oscillators. 1: Introduction Applications which use phase locked loops (PLLs) for clock and data recovery include optical communication systems, disk drive systems, and local area networks [4]. Other systems including radio transmitters and receivers use phase-lockedloops for frequency synthesis [5], while in complex digital systems such as microprocessors, network routers, digital signal processors and video signal sampling [6,7,8], the clocks used at various points in the system are often synchronized through a phase-locked or delaylocked loop to minimize clock skew [9,10]. Most of the above systems suffer from jitter, defined in the time domain as random variations in the sampling phase of a signal, or in the frequency domain as phase noise. This is often due to thermal noise and flicker (1/f) noise in the active and passive devices, which make up the components of the PLL system [11], particularly the voltage-controlled-oscillator (VCO). In addition, sudden changes in the supply or substrate can also cause frequency offsets and phase drift. These sources of noise can often be minimized through advanced circuit techniques. The example shown in Fig.1 for clock signals shows jitter as the short-term displacement of significant events of a digital signal from their ideal positions in time. The expected edges in a digital data stream never occur exactly where desired. Defining and measuring the timing accuracy of those edges (jitter) is critical to the performance of synchronous communication systems. Jitter is caused by different factors [3]: a) Power supply noise passing through a Phase Locked Loop (PLL). b) Noise on a PLL s reference frequency signal. A PLL in a frequency synthesizer has a dead-band during which the phase and frequency detector does not detect small changes in the input phase. Since these changes are not detected and corrected, they appear on the outputs in the form of jitter. 1

c) Random thermal noise from the crystal reference, or any other resonating device. d) Random mechanical noise from vibrations of the crystal reference. e) Optical and electrical connectors and cables. f) Internal switching noise. g) Cross-talk arising from magnetic fields generated by nearby signals produces phase variations in the transmitted digital signal. power. The jitter level can be measured using the block diagram shown in Fig. 2. The same arrangement may also be used to compare the jitter levels at different points of a given clock recovery circuit as will be described in the next section. Fig.2 Setup for jitter measurements of noisy signals Fig.1: Jitter in clock signals 2.1 Jitter reduction techniques Different techniques have been reported for the design and implementation of de-jitter circuits or low jitter clock recovery circuits. These techniques include: a) The use of additional PLL circuit with Voltage Controlled Crystal Oscillator (VCXO) to get very narrow loop bandwidth. b) Modifying the filter design to minimise the phase noise at the VCO input. c) Reducing power supply noise. d) Eliminating ground bounce. e) Using all digital filters with Voltage Controlled Crystal Oscillator (VCXO). The most commonly used method for controlling jitter in clock recovery circuits is the use of a PLL circuit with narrow filter or VCXO. In this work the effects of using both methods have been studied by simulation using MATLAB SIMULINK. The procedure uses a sinusoidal signal with added random noise that may produce jitter when applied to a zero-crossing circuit with defined saturation levels, where the jitter of the output signal will depend on the added noise The simulation results for Fig. 2 are plotted in Fig. 3 for different power noise levels where we can notice that the output jitter is increased as we increase the added noise. It is to be noted here that the output from the average MATLAB file is in mv and is directly proportional to the jitter level. Fig.3: Jitter noise relationship at 10MHz 2.2 Jitter reduction-using PLL The block diagram of fig.4 shows the PLL used to reduce the jitter associated with a recovered clock signal. Through the simulation we can change the loop bandwidth [1,2] either by changing the loop filter cutoff frequency or by changing the VCO sensitivity. Simulation results are given below. 2

the results one can notice that decreasing f c can reduce the output jitter: at 10-6 W noise power jitter level = 13mV instead of 18 mv when f c was 10 khz. Narrowing the PLL bandwidth by using a loop filter of small cutoff frequency may affect its dynamic performance especially the pull in range and pull in time [1,2]. Fig. 4: Setup for jitter reduction using PLL 3-Simulation results Case 1: using narrow band Loop Filter Cutoff frequency: Referring to Fig.4, which gives the block diagram for the jitter reductions circuit using narrow band PLL. The simulation parameters were chosen as follows: f o = 10 MHz VCO sensitivity = 100 khz /V Loop Filter Cutoff frequency f c =10 khz V in = 10sinωt Square threshold = 1.5V Fig.6 jitter to noise power relationship at 10MHz, f c =5 khz for PLL loop filter VCO sensitivity = 100 khz/v Another method that may also narrow the loop bandwidth is to use VCXO instead of using the ordinary VCO circuit. This oscillator has a very narrow band of variation around its center frequency [12]. Fig.5: Jitter noise relationship at 10MHz, f c = 10 khz for PLL loop filter VCO sensitivity = 100 khz/v Using the same procedure defined above, the jitter of the output signals with reference to the same signal (un-noisy signal) are plotted in Fig. 5 where we notice that the PLL can reject the jitter with high efficiency as the noise level becomes appreciable with respect to the signal level while the jitter due to the PLL is relatively clear at very low noise power. The above simulation is repeated keeping the same parameter while changing f c to 5 khz. Fig.6 shows the simulation results for f c = 5kHz. From Case 2: using narrow band VCO (voltage controlled oscillator): In order to simulate the VCXO we can use the VCO block in MATLAB but with very low sensitivity (in order of several hundreds of Hz around the center frequency). Referring to Fig.4 and using narrow band PLL, the simulation parameters were chosen as follows: f o = 10 MHz VCO sensitivity = 1 khz /V Loop Filter Cutoff frequency f c =10 khz Square threshold = 1.5V It is clear from Fig.7 which gives the simulation results when using narrow band VCO that the jitter is also reduced to about but with a little slight amount with respect to Fig. 5. Available VCXO has a very low sensitivities ( about 150 Hz / V in the range of tens MHz ). So in practical case we expect more jitter reduction. 3

Fig.7 Jitter to noise power relationship at 10MHz, f c = 10 khz for PLL loop filter VCO sensitivity = 1 khz/v 4-Conclusion In this paper a simulation of the jitter measurement setup was carried up using MATLAB SIMULINK software package. It was found that the RMS jitter is increased as the noise power increased, with higher slope above 10-6 µw noise power. The introduction of the phase locked loop highly reduces the output phase jitter especially when the noise power is high. At low noise levels the PLL itself may keep a constant jitter level due to the voltage-controlled oscillator because the output of the law pass filter flickers. In the setup the jittered and un-jittered (reference) signals are applied to the input of the phase detector (PD). The output of the phase detector is the input of low-pass filter, which feeds the M-file of the SIMULINK. The contents of the M-file are processed to get the RMS jitter. The simulation results show the validity of using a PLL with either narrow loop filter or narrow band VCO to reduce jitter. [3] Jitter in PLL-Based Systems: Causes, Effects, and Solutions Cypress Semiconductor Corporation Application notes [4] J. Proakis, Digital Communications, McGraw- Hill Inc., U.S.A., 1983. [5] Digital Frequency Synthesis Demystified DDS and Fractional-N PLL. Bar-Giora Goldberg Copyright 1999 by LLH Technology Publishing. [6] B. Kim, T. Weigandt, P.R. Gray, A PLL/DLL System Noise Analysis for Low Jitter Clock Synthesizer Design, IEEE International Symposium on Circuits and Systems, pp. 31-4 vol.4., May 1994, and IEEE Press Collection. [7] I. Young, J. Greason, J. Smith, K. Wong, A PLL Clock Generator with 5 to 110 MHz Lock Range for Microprocessors, IEEE Journal of Solid-State Circuits. [8] I. Young, M. Mar, B. Bhushan, A 0.35mm CMOS 3-880MHz PLL N/2 Clock Multiplier and Distribution Network with Low Jitter for Microprocessors, ISSCC Digest of Technical Papers, pp. 330-331, Feb. 1997. [9] B. Kim, T. Weigandt, P.R. Gray, A PLL/DLL System Noise Analysis for Low Jitter Clock Synthesizer Design, IEEE International Symposium on Circuits and Systems, pp. 31-4 vol.4., May 1994, and IEEE Press Collection Design of Monolithic Phase-Locked-Loops, edited by B. Razavi, 1996. [10] J. Maneatis, "Low-jitter process-independent DLL and PLL based on self-biased Techniques, IEEE Journal of Solid-State Circuits, vol.31, no.11, pp. 1723-32, Nov. 1996. [11] W. P. Robins, Phase noise in signal sources: (theory and applications), Peregrinus On behalf of the IEE, 1982. [12] TranSwitch Corporation AN-531 APPLICATION NOTE DART Device TXC- 02030-AN2. 5-Reference [1] Best, Ronald, Phase Loop Theory, Design, Applications, 3nd.ed, McGraw-Hill 1995. [2] Gardnar,F.M. Phase Locked Loop Techniques, 2 nd. ed, John Wiley&Sons,1980. 4

5