Understanding Focus Effects in Submicron Optical Lithography, Part 3: Methods for Depth-of-Focus Improvement

Similar documents
Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Image Manipulation. Chris A. Mack Department of Defense Fort Meade, MD ABSTRACT

Optical Proximity Effects, part 2

Reducing Proximity Effects in Optical Lithography

Understanding focus effects in submicrometer optical lithography: a review

optical and photoresist effects

Resolution. T h e L i t h o g r a p h y E x p e r t (Winter 1997) Chris A. Mack, FINLE Technologies, Austin, Texas

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Using the Normalized Image Log-Slope, part 2

Tutor43.doc; Version 8/15/03 T h e L i t h o g r a p h y E x p e r t (November 2003)

Optical Proximity Effects, part 3

The Formation of an Aerial Image, part 3

Optimization of the Spatial Properties of Illumination for Improved Lithographic Response

Phase Contrast Lithography

Optical Proximity Effects

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

Lithographic Performance of a New Generation i-line Optical System: A Comparative Analysis. Abstract

What s So Hard About Lithography?

Optolith 2D Lithography Simulator

Depth of Focus, part 2

More on the Mask Error Enhancement Factor

Horizontal-Vertical (H-V) Bias

Lithographic Process Evaluation by CD-SEM

Copyright 1998 by the Society of Photo-Optical Instrumentation Engineers.

LITHOGRAPHIC LENS TESTING: ANALYSIS OF MEASURED AERIAL IMAGES, INTERFEROMETRIC DATA AND PHOTORESIST MEASUREMENTS

Key Photolithographic Outputs

The Formation of an Aerial Image, part 2

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Synthesis of projection lithography for low k1 via interferometry

Line End Shortening. T h e L i t h o g r a p h y E x p e r t (Spring 2000) Chris A. Mack, FINLE Technologies, Austin, Texas

OPTICAL LITHOGRAPHY INTO THE MILLENNIUM: SENSITIVITY TO ABERRATIONS, VIBRATION AND POLARIZATION

Extending SMO into the lens pupil domain

Simulation of coherent multiple imaging by means of pupil-plane filtering in optical microlithography

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

1. INTRODUCTION ABSTRACT

16nm with 193nm Immersion Lithography and Double Exposure

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

EE-527: MicroFabrication

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

MICRO AND NANOPROCESSING TECHNOLOGIES

OPC Rectification of Random Space Patterns in 193nm Lithography

High Resolution Microlithography Applications of Deep-UV Excimer Lasers

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Process Optimization

CONTACT HOLE IMAGING AT THE 0.13 µm NODE USING KrF LITHOGRAPHY

Mirror-based pattern generation for maskless lithography

Comparison of Scalar and Vector Diffraction Modelling for Deep-UV Lithography

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Optical lithography is the technique for

Pupil wavefront manipulation for optical nanolithography

UV LED ILLUMINATION STEPPER OFFERS HIGH PERFORMANCE AND LOW COST OF OWNERSHIP

Exposure Dose Optimization for a Positive Resist Containing. Poly-functional Photoactive Compound. Chris A. Mack Shipley Company

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Optical Issues in Photolithography

Exhibit 2 Declaration of Dr. Chris Mack

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Resolution and DOF improvement through the use of square-shaped illumination

Resist Process Window Characterization for the 45-nm Node Using an Interferometric Immersion microstepper

Linewidth control by overexposure in laser lithography

Immersion Lithography Micro-Objectives

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

PROCEEDINGS OF SPIE. Evolution in the concentration of activities in lithography

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Chapter 15 IC Photolithography

Critical Dimension Enhancement of DUV Photolithography on the ASML 5500/300. Francesca Calderon Miramonte High School August 13th, 2015

Optical Requirements

DOE Project: Resist Characterization

PROCEEDINGS OF SPIE. Automated asphere centration testing with AspheroCheck UP

Improving registration metrology by correlation methods based on alias-free image simulation

Lecture 5. Optical Lithography

Microlens formation using heavily dyed photoresist in a single step

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Performance Factors. Technical Assistance. Fundamental Optics

Large Field of View, High Spatial Resolution, Surface Measurements

Developing an Integrated Imaging System for the 70 nm Node Using High Numerical Aperture ArF Lithography

Optical Projection Printing and Modeling

PICO MASTER 200. UV direct laser writer for maskless lithography

Major Fabrication Steps in MOS Process Flow

Physics 431 Final Exam Examples (3:00-5:00 pm 12/16/2009) TIME ALLOTTED: 120 MINUTES Name: Signature:

( ) Deriving the Lens Transmittance Function. Thin lens transmission is given by a phase with unit magnitude.

Photolithography II ( Part 2 )

Development of a Sub-100nm Integrated Imaging System Using Chromeless Phase-Shifting Imaging with Very High NA KrF Exposure and Off-axis Illumination

THE USE OF A CONTRAST ENHANCEMENT LAYER TO EXTEND THE PRACTICAL RESOLUTION LIMITS OF OPTICAL LITHOGRAPHIC SYSTEMS

Properties of Structured Light

Generation of diffraction-free beams for applications in optical microlithography

Comprehensive Simulation of E-beam Lithography Processes Using PROLITH/3D and TEMPTATION Software Tools

Tutorial: Thermal Modeling in Zemax

Experimental measurement of photoresist modulation curves

1X Broadband Wafer Stepper for Bump and Wafer Level Chip Scale Packaging (CSP) Applications

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Optimization of Process Parameters to Achieve Nano Level Surface Quality on Polycarbonate

Chapter Ray and Wave Optics

Refractive index homogeneity TWE effect on large aperture optical systems

Transcription:

Understanding Focus Effects in Submicron Optical Lithography, Part 3: Methods for Depth-of-Focus Improvement Chris A. Mack FINLE Technologies, Piano, 7X 75026 Abstract In general, depth-of-focus (DOF) decreases as the square of the feature size. As the resolution of optical lithography has improved, with the potential to go below 0.25 m, the decrease in usable DOF has been significant. As such, there has been increasing effort put towards ways of improving DOF in manufacturing. This paper will examine several proposed techniques for improving DOF including the use of geometry dependent mask bias, variable numerical aperture, multiple focal-plane exposures (the FLEX method), frequency plane spatial filtering, and annular illumination sources. As will be shown, each method offers the potential for improved DOF, but only for certain cases. None of the methods provides a general solution to the problem of shrinking focal depth, but rather they may simply slow the inevitable progression. I. Introduction Depth-of-focus (DOF) continues to be one of the most critical process latitudes in optical lithography. As features continue to shrink, focus latitude shrinks as well. However, focus errors are difficult to reduce and in many production environments the "resolution" is in fact determined by DOF considerations. This paper will review several proposed methods for improving DOF, but first a review of basic focus effects is in order. The term DOF is often used as a catch all for any focus effect in optical lithography. It is important to realize, however, that there are two distinct aspects of focus issues in manufacturing: process requirements and process capabilities. A particular process requires a minimum depth-of-focus due to numerous built in focus errors of the process. For example, topography is a constant for a given layer and results in a direct focus error (the top and bottom of the topography cannot both be in focus). Built in focus errors (BIFE) can be either random (e.g., vibration) or systematic (e.g., topography). A careful analysis of the sources of BIFE is essential in order to determine a process focus requirement. Table I shows the results of a hypothetical analysis of a typical 0.5 m process with a 4X reduction stepper. Note that the 272 / SPIE Vol. 1674 Optical/Laser Microlithography V (1992) 0-81 94-0829-8/92/$4.00

random errors are first added RMS and then added to the systematic errors. It is apparent from such an analysis which errors cause the greatest problems (in this case wafer non-flatness, best focus determination, topography, and field curvature and astigmatism). Table I - Example of Focus Process Requirement Analysis Estimated Built In Focus Errors (BIFE) Total Range (nm) Random Errors: Lens Heating (compensated) 0.10 Environmental (compensated) 0.20 Mask Tilt (0.7 jm /16) 0.05 Mask Flatness (2.0 jm /16) 0.12 Wafer Flatness (25 mm field) 0.50 Chuck Flatness (25 mm field) 0.14 Autofocus Repeatability 0.20 Best Focus Determination 0.40 Vibration 0.10 Total RMS Random Focus Errors: 0.74 Topography 0.5 Field Curvature and Astigmatism 0.4 Resist Thickness 0.2 Total BIFE (range) 1.8 j.m Independent of process requirements, process capability describes how a lithographic process responds to focus errors. Depth-of-focus is actually a term which describes process capability, but it is so poorly defined that it can mean virtually anything depending on how it is used. A less-abused term is focus latitude which, like any latitude, is defined as the response of the process to a given error. If the process capability exceeds the process requirements then reasonable manufacturing yields can be obtained. Since the ultimate goal is yield, the lithographer can either reduce the process requirements (by reducing the BIFE) or increase the process capability (by increasing the DOF) to achieve improved yield. Any successful strategy for scaling a process to smaller dimensions must encompass both of these approaches. Defining focus latitude is complicated by its extreme dependence on exposure energy, just as exposure latitude is dependent on focus. Thus, a definition of DOF is only useful if it describes the coupled exposure-focus dependency. The best description of DOF comes from the focus-exposure process window. For a given process specification, the focus-exposure process window is a plot of all those values of focus and exposure which keep the process within specification. The most common specifications are linewidth and resist sidewall angle, but resist loss can also be used. Measuring the process window, however, can be time consuming and SPIE Vol. 1 674 Optical/Laser Microlithography V (1 992) / 273

difficult. Thus, simpler metrics for DOF are often used. When analyzing aerial images, the logslope defbcus curve is useful [1-3], in which the slope of the logarithm of the aerial image at the line edge is plotted versus defocus. When comparing images for different feature sizes the normalized log-slope should be used, which is just the image log-slope times the nominal linewidth. The log-slope is directly related to exposure latitude [4], so the log-slope defocus curve describes, in a simple fashion, how exposure latitude falls off with defocus. The following sections describe a variety of techniques which have been proposed to improve the depth-of-focus for high resolution lithography processes. Some techniques are quite old (properly biasing the mask), but still have not found widespread use. Some techniques are old to optics but new to lithography (spatial filtering, phase-shifting masks) and still unproven. The goal here will be to give some indication of the benefits and detriments of each method. II. Mask Bias Originally, adding bias to a mask was used as a means of compensating for subsequent process steps which changed the dimensions of the final structure from that defined in the lithographic step. With the advent of high resolution positive resists it became known that process latitude could be improved by overexposing. Thus, by oversizing the chrome features on the mask and overexposing the resist correct linewidths could be obtained with improved latitude. The drawback, of course, was throughput. There are two main reasons why this type of biasing works: 1) improvement of the latent image through higher exposures [4] and 2) improvement of the image log-slope [5]. The simplest way to bias a mask is to apply the same bias to all features. However, not all features need the same bias. In fact, the simplicity of a uniform bias is the main reason why bias is not used to its full potential. For each feature there is an optimum bias which maximizes the size of its process window. Further, the optimum bias varies considerably with feature size and type [5]. For example, isolated lines benefit greatly from a relatively large amount of bias, but high resolution line/space arrays do not. Figure la shows the improvement of the normalized image log-slope with bias (to a point) for several sizes of isolated lines with O.75m of defocus as calculated by the lithography simulator PROLITH/2 (FINLE Technologies, Plano, TX). Figure lb shows that dense arrays of lines and spaces do not show this improvement for the smallest and largest line sizes. What is needed is a geometry dependent bias. Implemented as a CAD algorithm, geometry dependent bias would examine the feature size and type and the proximity of other features to determine the amount of bias based on the following two criterion. First, the critical feature(s) would be biased to improve performance. Next, the rest of the mask would be biased to print properly at the energy needed to print the critical feature(s). Although significantly more complicated than a uniform bias, an algorithm of this type is certainly within our capabilities. Yet, only recently have attempts to define such an algorithm for limited 274 / SPIE Vol. 1674 Optical/Laser Microlithography V (1992)

structures been published [6]. It is interesting to note that such an algorithm is a subset of the problem which must be solved in order to design optimized phase-shifting masks. Thus, industry focus on the problem of geometry dependent bias would find immediate benefit and serve as a important first step in the automated design of phase-shifting masks. Normalized Image Log-Slope Normalized Image Log-Slope 8 O 0.4 znicroc SDC 0.4 micron g 05 micron a 0.5 micron 0.6 micron 0.6 micron 0 0.7 micron 6BC 0 0.7 micron 5& 5 & 4 4C 4 4C - - - - 32C 321 2DC I b4 ' b8 12 Mask Bias (urn) ' 6 ' 20 2OCi I be --R ' 2 ' 6 ' 20 Mask Bias (urn) Figure 1. The effect of mask bias on the normalized image log-slope for (a) isolated lines, and (b) line/spaces arrays of various nominal linewidths with a defocus of 0.75 /1m (calculated with PROLITH/2). UI. Variable Numerical Aperture and Partial Coherence In 1989 the author introduced the concept of "image manipulation," varying the numerical aperture (NA) and partial coherence (a) of a stepper on a level by level basis in order to optimize the shape of the aerial image for the critical feature(s) on each level [7,8]. The effect of numerical aperture on DOF is not obvious and is strongly dependent on the feature size and type as well as the partial coherence. Using the image log-slope as a means of judging image quality, Figure 2a shows that numerical aperture significantly affects the shape of the logslope defocus curve. In focus, the higher numerical apertures result in higher log-slopes, and thus improved imaging. However, when there is defocus, higher NA may result in decreased log-slope (i.e., at some value of defocus the log-slope curves for two different numerical apertures will cross). One way to interpret this result is that for a given amount of defocus, there is one value of the numerical aperture which gives the maximum log-slope of the aerial image. As shown in Figure 2b, this optimum NA is also a function of feature type. For a given feature type and size and a given amount of defocus, the is one NA which gives optimum image quality. Likewise, for a given feature type and size and a minimum acceptable image quality (i.e., minimum value of the log-slope) there is one NA which will give the maximum DOF. The partial coherence can also greatly affect the optimum NA. If a projection tool has a variable objective lens numerical aperture, by default it must also have a variable condenser lens SP1E Vol. 1674 Optical/Laser Microlithography V (1992) / 275

numerical aperture for a to remain constant. Thus, a could also be varied in such a system. Consider the imaging of O.45jim lines and spaces with i-line assuming O.75j.m of defocus is expected in the process (i.e., the BIFE). By varying both the numerical aperture and the partial coherence, contour plots of constant image log-slope can be generated, as shown in Figure 3. In this case, the optimum log-slope occurs when NA = 0.45 and o = 0.10 (where a value of 0.1 was the lowest examined). For O.4m lines and spaces, however, the optimum NA is 0.55 with a equal to 0.65. Normalized image Log-Slope ax 6 4C 'NA= 0.4 a NA = 0.5 NA = 0.6 Normalized Image Log-Slope ce/spaces a cocitact I 60 _m[, I I 00 40 80 1 20 1 60 200 Focal PositIon (microns) Numerical Aperture Figure 2. Finding the optimum numerical aperture using the image log-slope as a metric. (a) The log-slope defocus curves cross indicating the one NA is better than another only over a certain focus range. (b) For O.75m defocus, the optimum NA for an array of lines and spaces in 0.5, but for an array of contacts it is 0.57 (a = 0.5, i-line, O.5jm features, as calculated by PROLITH/2). 0.8 Numerical Aperture 0.6 0A 0.2 0.1 0.3 0.5 0.7 Partial Coherence Figure 3. Contour map of image-log slope as a function of the numerical aperture and partial coherence of the projection system (O.45/.Lm lines and spaces, i-line, O.75m defocus). 0.9 276 / SPIE Vol. 1674 Optical/Laser Microlithography V (1992)

Although a very useful indicator, it is not possible to determine the true optimum values of NA and o. based solely on log-slope. Another approach is to use the Lumped Parameter Model (LPM) to predict the size of the process window [9]. The LPM is a simple model for resist exposure and development that allows for the calculation of an entire focus-exposure matrix on a PC in matter of seconds. Though certainly not as accurate as the primary parameter models found in programs such as PROLITH/2 and SAMPLE, the LPM is more accurate than any metric based solely on aerial images (e.g., the image log-slope). Using an optimization routine built into PROLITH/2, numerical aperture and partial coherence can be varied in order to maximize the size of the focus'.exposure process window (as predicted by the LPM over a specified focus range). Based on this approach, the case of O.45jm lines and spaces discussed above has an optimum LPM process window when NA = 0.49 and a = 0.22. Both the log-slope and LPM approaches can be used to quickly determine the approximate optimum stepper settings, which can then be investigated further with the more exact primary parameter models and finally experimental data. Iv. Multiple Focal Plane Exposures Recently, Fukuda and coworkers from Hitachi introduced a method, which they called FLEX [10-12], with the potential to improve depth-of-focus. In its simplest form, a wafer would be given a partial exposure at a particular focal position. Then, without moving the wafer in the x or y directions, the wafer would be moved to a different focal position and the remaining exposure would be delivered. The result is an averaging of aerial images both in and out of focus. Although two focal plane exposures are a minimum, more focal planes can be used. Typically, only two or three planes have been used since more exposures tend to add complexity without giving further benefit. Besides processing complexity and decreased throughput, what are the trade-offs of using this technique? How much benefit can be expected? To answer these questions, the lithography simulator PROLITH/2 was enhanced to include multiple focal plane exposures. The log-slope defocus curve will again be a useful technique for understanding the effects on DOF. For a multiple focal plane exposure, the final aerial image can be thought of as a summation of the aerial images at the different focal planes, weighted by their respective exposure energies. For the cases studied here, three focal planes will be used separated from each other by a distance F, all with equal exposures. Once an "average" aerial image is computed, its log-slope can also be determined. Figure 4a shows the effect of F on the logslope defocus response for O.5m lines and spaces (NA = 0.5, a = 0.5, i-line). A if = 0 indicates the standard single focal plane exposure. Some statements can be made about this graph which I have found to be generally true for multiple focal plane exposures: (1) this technique results in improved log-slope for out-of-focus conditions, but only at the expense of reduced performance in focus; and (2) the focus value at which the curves cross (in this case both are at 1.2/.Lm of defocus) is beyond what would normally be considered the depth-of-focus SPIE Vol. 1674 Optical/Laser Microlithography V (1992) / 277

of the system. Further, for the case of equal lines and spaces, the crossover point occurs at an extremely low value of the log-slope, making the use of FLEX for lines and spaces undesirable. Figure 4b shows the same simulations for the case of an array of O.5pm contacts. The basic trends are the same but now the crossover point occurs at a much more reasonable, though still low, value of the normalized log-slope. Although the log-slope defocus curve gives a great amount of insight into the behavior or multiple focal plane exposures, it does not tell the full story. In particular, the log-slope defocus curve gives no information about isofocal bias. Figure 5a shows a focus-exposure process window for a O.5m contact with a standard single pass exposure. Values of focus and exposure which are within this window have linewidths which are within 10% of the nominal value. A limiting feature of this window is its curvature. As the contact goes out of focus, more energy is required to properly size it. Thus, the curvature of the window is indicative of an extreme isofocal bias which will significantly limit the overall depth-offocus. The log-slope defocus curve gives no indication that this isofocal bias exists (and, in fact, it does not exist to any great. extent for the case of equal lines and spaces). Examining the process window resulting from a three-pass multiple focal plane exposure with F = 1.5j.m, one can see that the isofocal bias has essentially been eliminated. Although the size of the window in focus has diminished (i.e., there is less exposure latitude in focus), the window stays essentially the same size over a long focus range. Thus, if the smaller exposure latitude is acceptable, the DOF of these contacts can be improved using FLEX. Normalized Image Log-Slope.LF = 0 LF = 1.0 m LF = Z0m Normalized image Log-Slope.EF = 0 = 1.0 jm = ZO jm 120 1 Focal Position (microns) 120 I Focal Position (microns) Figure 4. The effect of multiple focal plane exposures on the log-slope defocus curve for (a) equal lines and spaces and (b) contacts (0.5jm features, NA = 0.5, a = 0.5, i-line, three-pass exposures with separation between focal planes of 1F). 278 / SPIE Vol. 1674 Optical/Laser Microlithography V (1992)

Percent Exposure Latitude NcI- Dose = I 9i 20 rnjfcrr Percent Exposure Latitude Dose = 21.84 mjfcrrq I co Process I a Process I Wndo 40( I Wndov NOrthi CD 2QC.o---.---.------. Norr*- CD -2üC Focal PositIon (microns) -43 50-1 '1 0 ' - D - 3O 0 Focal Position (microns) Figure 5. The effect of multiple focal plane exposures on the shape of the focus-exposure process window: (a) no FLEX and (b) three-pass exposures with a focal plane separation of 1.5m (O.5m contacts, NA = 0.5, o = 0.5, i-line). ' 50 Figure 5 shows that the main benefit of the FLEX method for contacts is to reduce, and even eliminate, the isofocal bias. In fact, the optimum focal plane separation can be found as the value which completely eliminates the isofocal bias (in this case, this value is slightly greater than 1.5j.m). The price that must be paid is a reduction in exposure latitude and photoresist sidewall angle when in focus. The unique imaging attributes of contacts in positive photoresist (i.e., a strong isofocal bias) make the FLEX method particularly appropriate, whereas other types of features do not see much benefit. V. Spatial Frequency Filtering The concept of spatial frequency filtering is not a new one. The earliest filter to be studied was the simple annular aperture in which the central portion of the objective lens pupil is blocked. The use of an annular aperture was first suggested by Lord Rayleigh as a means of improving resolution [13], though it had been studied mathematically much earlier. Steward [14] studied this aperture and found that it gave "...a decided gain in resolving power..." at the expense of throughput due to the loss of light. Welford [15] later studied annular apertures and found that they also improved depth-of-focus, but produced secondary image maxima of greater intensity (commonly called side-lobes today). Welford also suggested that proper adjustment of the response of the photographic media could reduce the printability of these side-lobes, as has been recently suggested for photoresists [16]. Jacquinot et al. [17] described an application in which the outer portions of the aperture were reduced in transmission and coined the term apodization to describe this filtering technique. Although the result of this filter is reduced resolution, the use of the term apodization has grown to encompass any modification of the transmission properties, real or complex, of a lens pupil (for an early review of work in this area, see ref. [18]). Duffieux is given credit for introducing Fourier frequency analysis to optics in his SPIE Vol. 1674 Optical/Laser Microlithography V (1992) / 279

1946 book, which has only recently been translated into English [19]. Thus, modification of the transmission function of a lens aperture has come to be known as spatial frequency filtering (see Goodman's classic textbook for a review of spatial filtering [20]). In fact, the effect of a central aperture stop on the frequency response of an imaging system is given as a homework problem by Goodman (Chapter 6, problem 6-1). Recently, spatial filtering has been proposed for microlithography [21,22]. The proposed filters has been similar in principle to an annular aperture, but rather than having a transmission of zero in the central portion of the aperture the transmission is simply reduced. For example, a filter, which could be located at either the entrance pupil or the exit pupil of the objective lens, may have a transmission of 50% out to a radius of one-half of the pupil radius, with 100% transmission for the outer half of the pupil. Although a pure transmission filter would be much simpler to fabricate, shifters could be added as well. Thus, for example, our simple filter could be modified to have 50% transmission and a 180 phase shift in the central portion of the aperture. In general, a radially symmetric filter can be described by its complex transmission function r(r) where r is the radial position within the pupil relative to the pupil diameter. As an example of the effect of a simple filter on a simple aerial image, consider the coherent image of a 0.5m line/space array such that only the zero and first diffraction orders make it through the lens. The resulting aerial image intensity is given by 1(x) = [ + cos(2irx/p) j (1) where the cosine term results from the first diffraction orders and the one-half term results from the zero order. Consider now our simple filter where the central portion of light in the aperture is attenuated by passing through a filter with electric field transmission T. Further, let us pick the radius of this central region' to be such that the zero order is attenuated but the first order is not. Thus, the filtered aerial image is 1(x) = [ T + cos(2irx/p) J (2) It is a simple matter to plot equation (2) and determine the effect of various transmissions on the image, as shown in Figure 6, where each image was normalized to have the same peak intensity for comparison purposes. The effects are as expected. The edge slope of the space increases as the transmission is reduced, but at the expense of increased side lobe intensity. In fact, if T=0, the result is a dark field frequency doubled image [23]. 280/ SPIE Vol. 1674 Optical/Laser Microlithography V (1992)

Relative Intensity 1.4 1.2 1.0 0.8 0.6 0.4 0.2 0.0-500 -400-300.200.100 0 100 200 300 400 500 Horizontal Position (nm) Figure 6. Effect of spatial filtering on an image of equal lines and spaces with coherent illumination for a simple filter which reduces the amplitude of the zero order by T. Images are normalized to have the same peak intensity for comparison purposes. Of course, more complicated filters will have different responses, but the general trends will be similar. Several notes of caution are in order. For any given filter, the effect on the aerial image will be different for different feature sizes and types. Thus, in general, the first casualties of spatial frequency filtering are mask linearity and the proximity effect. These issues must be looked at very closely when designing a filter. A filter design can be fully optimized only for a particular feature. Thus, to get the most out of such a filter arrangement the filters must be easily interchangeable so that different mask levels can each be optimized. In light of these issues, it would be highly desirable to have only one critical feature per mask level when using spatial filtering. VI. Annular and Other Illumination Sources Variations of the method of illumination seem to have received very little attention over the years as a means of improving imaging. Recently, both theoretical [7] and experimental [24] studies have shown the potential for improving image quality with annular illumination systems. Further work included the combination of annular illumination with a centrally obscured objective lens pupil [25]. Very recently, the use of various illumination shapes has generated considerable interest and the most recent studies are in these proceedings [26,27]. The effect of annular illumination can be summed up quite nicely by examining the variation of the aerial image log-slope with linewidth. Figure 7 compares this variation for both conventional and annular illumination systems. As can be seen, the annular source results in SPIE Vol. 1674 Optical/Laser Microlithography V(1992)/ 281

improved image quality for certain small features (in this case for features near O.3m) at the expense of reduced image quality for larger features (0.4 - O.7jm here). This is the essential trade-off for any illumination modification. If the response of one feature size is improved, other features will suffer. Thus, as before, there is an advantage to using various illuminator shapes, but only if they are easily changeable and if there are very few (preferably one) critical features per mask level. Image Log-slope (1/microns) 12 10 8 6 4 2 0 0.0 0.5 1.0 1.5 2.0 Linewidth (microns) Figure 7. Comparison of conventional and annular sources for line/space pairs of varying width (NA = 0.5, i-line, no defocus, a = 0.5 for the conventional source, and the annular source is a very thin annulus about a = 0.5). VII. Conclusions All of the methods for DOF improvement reviewed here have some merit. In general, depth-of-focus for one feature can be improved at the expense of other features. Usually mask linearity and proximity effects suffer, but they should be carefully examined in any case. One proposed method, geometry dependent mask bias, would by design alleviate linearity and proximity type effects. Although not discussed here, phase-shifting masks also represent an opportunity for DOF improvement, with many of the same problems. There is, however, one technique for improving lithographic performance in the face of shrinking depth-of-focus which has none of these negative side effects: reducing the built in focus errors. Obviously lens designers and manufacturers are actively working on reducing lens induced focus errors and the need for ultra-flat wafers is well known. Planarization techniques are also receiving considerable attention. One area that could use further work is best focus determination and new techniques such as latent image metrology hold great promise. 282 / SHE Vol. 1674 Optical/Laser Microlithography V (1992)

References 1. H. J. Levenson and W. H. Arnold, "Focus: The Critical Parameter for Submicron Lithography," Journal Vacuum Science and Tech., Vol. B5 (Jan/Feb 1987) pp. 293-298. 2. C. A. Mack, "Understanding Focus Effects in Submicron Optical Lithography," Optical/Laser Microlith., Proc., SPIE Vol. 922 (1988) pp. 135-148, and Optical Engineering, Vol. 27, No. 12 (Dec. 1988) pp. 1093-1100. 3. C. A. Mack and P. M. Kaufman, "Understanding Focus Effects in Submicron Optical Lithography, Part 2: Photoresist Effects" Optical/Laser Microlith. II, Proc., SPIE Vol. 1088 (1989) pp. 304-323. 4. C. A. Mack, "Photoresist Process Optimization," KTI Microelectronics Seminar, Proc., (1987) pp. 153-167. 5. C. A. Mack and P. M. Kaufman, "Mask Bias in Submicron Optical Lithography," Journal of Vacuum Science and Technology, Vol. B6, No. 6 (Nov./Dec. 1988) pp. 2213-2220. 6. N. Shamma, F. Sporon-Fielder and E. Lin, "A Method for Correction of Proximity Effect in Optical Projection Lithography," KTlMicroelectronics Seminar, Proc., (1991) pp. 145-156. 7. C. A. Mack, "Optimum Stepper Performance Through Image Manipulation," KTI Microelectronics Seminar, Proc., (1989) pp. 209-215. 8. C. A. Mack, "An Algorithm for Optimizing Stepper Performance Through Image Manipulation," Optical/LaserMicrolithography III, Proc., SPIE Vol. 1264 (1990) pp. 71-82. 9. C. A. Mack, A. Stephanakis, R. Hershel, "Lumped Parameter Model of the Photolithographic Process," Kodak Microelectronics Seminar, Proc., (1986) pp. 228-238. 10. H. Fukuda, N. Hasegawa, T. Tanaka, and T. Hayashida, "A New Method for Enhancing Focus Latitude in Optical Lithography: FLEX," IEEE Electron Devices Letters, Vol. EDL-8, No. 4 (Apr 87) pp. 179-180. 11. T. Hayashida, H. Fukuda, T. Tanaka, and N. Hasegawa, "A Novel Method for Improving the Defocus Tolerance in Step and Repeat Photolithography," Optical Microlithography VI, Proc., SPIE Vol. 772 (1987) pp. 66-71. 12. H. Fukuda, et al., "Method for Forming Pattern and Projection Aligner for Carrying Out Same," U.S. Patent 4,869,999 (issued Sep 89). 13. Lord Rayleigh, "On the Difrfaction of Object Glasses," Astronomincal Society Monthly Notice, Vol. 33 (1872) pp. 59-63, also reprinted in his book Scientific Papers Vol. I, Dover Publications (New York: 1964) pp. 163-166. SPIE Vol. 1674 Optical/Laser Microlithography V (1992) / 283

't't '91 LI 'SI '61 TZ H 'ppz1)j i!ds11.ioj snzod uonosj u 1'Aqdioiprj /vwnoç Jo WflflVA uaws put, 'tolouii3l 1 A '6H 'ON 9 OJ/AON) (r66t dd 9TT:-:TT: )JU pui y 'zqni uisaiouj uoinjosj pui q2d SflOOd u iiotd0 Aqdioq1oio tnoiq Jo 4'snbiuqoj vnwzaoinjy [6 o) q XUUd?UL8U[ (pqsijqnd Z 1P"L 'VD'I 'J'N SnSj u jsij,j ittiidv.&oimioio.ij'ttiix '.WUlWd5'.aoJdr(t661) dd c-z t'z cz 9Z LZ t I 31dS 1 A 'd ji 'xnijjn qj iunoj wiojsuiuj pui sq uopjddy o 'so!do uqo M) :)pox (s6t 1' 'urnnpooj uotnpoiuj o iuno 'soid II!H-MJooi'i MN) :)po (896T U 'UuAo'J pui 'uon.io iouaunj uopotjpoj, jo ui '4I 'p ojj iu 1iord0 'iuljy i S3lUOJJ3dO.DlJ4Jj)J 'JflUlWaLS (6861) dd OZ9TZ s 'u1a jo uoiinosq uo 1JuI UOWJOd U! UOUOIOJ 1'Aqdioqwj Jd8V7/lV3lJcJO 1(iIdv.th'otIJ!10J3.1141 'III EIIdS 1 A fr9zt (o66t) dd -LLt' çgj7 'is N 'i MN urnuj nbiuqoj 1oJ 'wvact-j'\ti9 Ja8V7/lV7JdO t'ythj0yj!l0.7n 4 '.'r ds 1 A j L9t 'z66t) o q pqsijqnd u sp (suipooid 'UflOJ H 'qiuij H 'nzon pui 'iuisij uonosj1 UUAOJdtUJ q: rninuuv JSV7/lV.7JdO 4'i/dVJOi/JO.DlJy 4 'OJd EEIdS 1 A i'l9i 'Z661) o q pqsiqnd ut sap (suipooid )J '0 3 d 'ou!nbotf (qouij i 'p11m5 qj (5z61 'dd 6'-SS 'çj M 'j. 'pjoj (JawoS 'vauauivfo 'I A 'OS N Ifr9 u) 'ijd5 d i'i 'p uotpzauid01 1'oouqooj JdSVl/1V3.llcIO pjsijqnd ui sp (suipooid 'JIoM qj uooiijjj 1OJ (Ic6t) dd 'rpfl)flj 'J 'Ms1llQJ i' s 'l ''Id H 'p S?JdWO SflpUj p 111601 I tl9 JSe7/jeJfldO AdeJoq3qoJip'j A 1) (Z66 I ido 'Iuss puqiu1r'j isiaufl SSJd :uopuo'j) SfL jo nnuuv soinid o sijou poo 'qd s /vu.rnoj' Jo nv) (0961 JO ALUSO {ifdvjoi/v/oj3,lpij 'dd '5L6t'L 4 "M IIdS flwv3j7 Sap 'UaPS '7''d i A zz (961) dd JO 'suoruq\f S7JOddJ UO SSJSJ JOJ 'Td i A L9t ajoj j; g3,lsiçiidr 'I A i'1 ipd JO ayi /v3ljdq JPTS 'Z661) IJA gq :' suos pu