TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Similar documents
Mask Technology Development in Extreme-Ultraviolet Lithography

Optics for EUV Lithography

From ArF Immersion to EUV Lithography

EUVL getting ready for volume introduction

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

R&D Status and Key Technical and Implementation Challenges for EUV HVM

EUV Light Source The Path to HVM Scalability in Practice

EUV lithography: today and tomorrow

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Status and challenges of EUV Lithography

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

EUV lithography: status, future requirements and challenges

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

EUVL: Challenges to Manufacturing Insertion

Update on 193nm immersion exposure tool

EUV Lithography Transition from Research to Commercialization

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

Progress in full field EUV lithography program at IMEC

EUVL: Challenges to Manufacturing Insertion

High-NA EUV lithography enabling Moore s law in the next decade

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Lithography Industry Collaborations

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

EUV Actinic Blank Inspection Tool Development

Scope and Limit of Lithography to the End of Moore s Law

Development of ultra-fine structure t metrology system using coherent EUV source

EUV Interference Lithography in NewSUBARU

EUV Resist Materials and Process for 16 nm Half Pitch and Beyond

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Comparison of actinic and non-actinic inspection of programmed defect masks

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

IN-LAB PELLICLE METROLOGY CHALLENGES

Imaging for the next decade

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Nikon EUVL Development Progress Update

Lecture 5. Optical Lithography

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Scaling of Semiconductor Integrated Circuits and EUV Lithography

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang

State-of-the-art device fabrication techniques

TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

EUV Supporting Moore s Law

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

OPC Scatterbars or Assist Features

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

* AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Intel Technology Journal

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Optics for EUV Production

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology

Novel EUV Resist Development for Sub-14nm Half Pitch

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Recent Development Activities on EUVL at ASET

Newer process technology (since 1999) includes :

2009 International Workshop on EUV Lithography

Challenges of EUV masks and preliminary evaluation

SEMATECH Defect Printability Studies

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Present Status and Future Prospects of EUV Lithography

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

Diffractive optical elements and their potential role in high efficiency illuminators

Multilayer Collector Optics for Water Window Microscopy

Beyond Immersion Patterning Enablers for the Next Decade

Critical Challenges of EUV Mask Blank Volume Production

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING

EUVL Exposure Tools for HVM: It s Under (and About) Control

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

DSA and 193 immersion lithography

Registration performance on EUV masks using high-resolution registration metrology

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

Process Optimization

Jung Sik Kim, Seongchul Hong, Jae Uk Lee, Seung Min Lee, and Jinho Ahn*

Advanced Patterning Techniques for 22nm HP and beyond

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Light Sources for High Volume Metrology and Inspection Applications

Enabling Semiconductor Innovation and Growth

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

Competitive in Mainstream Products

EUV Source for High Volume Manufacturing: Performance at 250 W and Key Technologies for Power Scaling

Photoresists & Ancillaries. Materials for Semiconductor Manufacturing A TECHCET Critical Materials Report

EUVL Activities in China

NIST EUVL Metrology Programs

Transcription:

EUV Lithography The March toward HVM Anthony Yen 9 September 2016

1 1 st EUV lithography setup and results, 1986 Si Stencil Mask SR W/C Multilayer Coating Optics λ=11 nm, provided by synchrotron radiation Ring Aperture Wafer 8X, ring-field Schwarzschild optics; exposure carried out by scanning mask and wafer Hiroo Kinoshita, 30 years have passed from the first experiment, International Symposium on EUVL, Maastricht, the Netherlands, 6 Oct. 2015

2 Bell Lab s setup and results, 1990 Under the leadership of Rick Freeman and Bill Brinkman, based on initial investigations by Silfvast and Wood, a Bell Lab team was assembled to work on EUVL in 1988 0.7 mm Si membrane; 0.5 mm Ge absorber 20X Schwazschild NA = 0.08 J. E. Bjorkholm et al., JVST B 8, 1509, Nov/Dec 1990 Pitch = 100 nm

3 Reflective EUV masks proposed and fabricated Lawrence Livermore National Laboratory, 1989 Kinoshita et al. also succeeded in fabricating ML masks in 1989, published in JVST B 7, 1648 (1989) Hawryluk et al., JVST B7, 1702, Nov/Dec 1989

4 Critical component in EUVL: multilayer reflector technology n = 1 d; k = b Troy W. Barbee, Jr., Proc. SPIE 563, 2 (1985)

5 EUV LLC Organizational Structure, mid-90s from EUV LLC: An Historical Perspective, by Chuck Gwyn and Stefan Wurm in EUV Lithography, edited by Vivek Bakshi, SPIE Press 2009

6 Culmination of EUV LLC work ETS, early 2000s Schematic drawing Initial assembly 0.1 NA, 4 mirrors, 24x32.5 mm imaging field from EUV LLC: An Historical Perspective, by Chuck Gwyn and Stefan Wurm in EUV Lithography, edited by Vivek Bakshi, SPIE Press 2009

Reflectivity and Spectra Density (arb. units) 2013 TSMC, Ltd 7 13.5 nm wavelength was selected around 1999 2000 Reflectivity of an 11-mirror imaging system and spectra of Xe, Sn, Li Xe Sn Li Mo/Si ML 10 11 12 13 14 Wavelength (nm) from EUV Source Requirements for EUVL, by K. Ota, Y. Watanabe, V. Banine, H. Franken in EUV Sources for Lithography, edited by Vivek Bakshi, SPIE Press 2006

8 ASML s ADT in image qualification, Spring 2006 Hans Meiling et al., First performance results of the ASML alpha demo tool, Proc. SPIE 6151, 615108 (2006)

9 TSMC participation of imec s EUV Program 10/2007 DPP Source 1 st light: 4/2007 Tool accepted: 6/2008 Photos courtesy of imec ADT Scanner

10 NXE3100 Arrives in Taiwan, 7/2011 One of several scanner shipments arriving in Taiwan

11 NXE3100 Installation Nearly Complete Hsinchu, October 2011

12 NXE3300: Installation Complete Hsinchu, October 2013

13 Mid-module of NXE3350 Arriving at TSMC Hsinchu, January 2016

EUV Power (W) 2013 TSMC, Ltd 14 Progress on EUV source power Year

15 210W w/ in-spec dose, on a development source Slide courtesy of ASML

16 Carl Zeiss Starlith 3300/3400 Optics ML coated collector mirror Photo Source: Fraunhofer IOF Slide courtesy of Carl Zeiss

17 Improvements in Sustaining EUV Power by maintaining collector mirror cleanliness 1 st Round of 2 nd Round of Improvements Improvements 3 rd Round of Improvements 4 th Round of Improvements 5 th Round of Improvements 30 Gp (-1.4%/Gp) 35 Gp (-1.2%/Gp) 77 Gp (-0.78%/Gp) 95 Gp (-0.43%/Gp) *130Gp (-0.4%/Gp) *estimated Collector usage (G-pulses)

Wafers per Day 2013 TSMC, Ltd 18 Daily EUV Wafer Exposure Trend 1000 NXE3350 single tool champion data Day 1 Day 2 Day 3 1/2016 8/2016

Total number of wafers exposed: 2013 TSMC, Ltd 19 > 545,000 wafers exposed on NXE:33x0B systems by customers 600,000 500,000 400,000 300,000 200,000 100,000 0 201630 201625 201620 201615 201610 201605 201553 201548 201543 201538 201533 201528 201523 201518 201513 201508 201503 201450 201445 201440 201435 201430 201425 201420 201415 201410 201405 Week Slide courtesy of ASML

20 Can we keep the mask clean? (a) Outer pod Inner pod EUV Mask (downward facing) and associated Dual-Pod (b) (c) (d) Inner pod (a) Conductive layer (b) Low thermal expansion material (c) Mo/Si multilayer (d) Absorber (b) (c) Dual-Pod manufactured by Gudeng Precision Industrial Co. (d)

Defects per Blank 21 Continual reduction of mask native defects Resolution of inspection:23 nm SEVD 2014 2015 2016 2017 0 1 2 3 4 5 6 7 8 9 10 11 12 13

22 TSMC EUV pellicle development Membrane thickness = 50nm Transmission = 85%

23 Slide courtesy of JSR

24 Non-CAR Resist: 13nm L/S @ 26 mj/cm 2 w/ Process Window -60nm -40nm -20nm BF: 0nm 20nm 40nm 60nm NXE3300, Dip45x 26nm Pitch, 13nm L/S DOF@10%EL: 140 nm 27.0 mj/cm 2 13.2 nm CD 4.6 nm LWR Slide courtesy of Inpria, in collaboration with imec

25 EUV single exposure replaces immersion multiple patterning 2D Metal layer at 32nm pitch achieved with Quasar illumination 48nm pitch / 24nm CD 32nm pitch / 16nm CD EUV Single Exposure ArFi Triple Patterning Dose: 20 mj/cm 2 Quasar illumination Pupil Fill ratio 20% Slide courtesy of ASML, in cooperation with imec

26 Exposure tools and their approximate resolution limits Exposure Tool Type DUV (248) DUV (193) DUV (immersion) EUV (current) EUV (future) Wavelength 248 nm 193 nm 193 nm 13.5 nm 13.5 nm N.A. 0.93 0.93 1.35 0.33 0.55 Approx. Min. Printable Pitch 160 nm 120 nm 80 nm 26 nm 16 nm For lines and spaces; resolution is somewhat lower for hole-type patterns EUV options being explored to extend Moore s law Node N: 1 st generation EUV single patterning Node N+1: low-k1 EUV single patterning Node N+2: EUV double patterning or high-na EUV single patterning Node N+3: high-na, low-k1 EUV single patterning

27 Immersion Lithography Development at TSMC 10/2003 ASML made 1 st immersion scanner the 1150i with NA = 0.75 11/2004 Immersion a tool 1250i NA = 0.85 10/2005 1400i NA = 0.93 6/2006 1700i NA = 1.2 March 2002 1 st immersion proposal (Burn Lin, TSMC) Dec 2004 1 st 1250i moved into TSMC July 2006 1 st 65nm product yield Miror LastLens Tank Fluid Fluid Element Cover Inlet Outlet Wafer Fluid Miror Vacum Pump Fluid Replenishing Hole Filter Drain Nov 2004 TSMC made 1st functioning 90nm chip with 1150i

28 EUV Lithography Development at TSMC Metal-1 layer 20-nm node Exposed on ADT 2010 Metal-1 layer 10-nm node 2013 Via layer 7-nm node 2015 Via layer 5-nm node 2016 imec s ADT passed acceptance test 6/2008 NXE3100 installation complete 10/2011 NXE3300 installation complete 10/2013 NXE3350 move-in 1/2016 NXE3400 scanners for 5-nm node 2008 2009 2010 2011 2012 2013 2014 2015 2016 ADT scanner, resists, masks, pellicles, integration, etc. Resists, mask blanks, AIMS tool, etc. Actinic mask blank inspector Co-funding of EUV development