Critical Challenges of EUV Mask Blank Volume Production

Similar documents
2009 International Workshop on EUV Lithography

EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview

EUV Lithography Transition from Research to Commercialization

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

AIXUV's Tools for EUV-Reflectometry Rainer Lebert, Christian Wies AIXUV GmbH, Steinbachstrasse 15, D Aachen, Germany

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Comparison of actinic and non-actinic inspection of programmed defect masks

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Challenges of EUV masks and preliminary evaluation

Mask Technology Development in Extreme-Ultraviolet Lithography

EUV Substrate and Blank Inspection

EUV Multilayer Fabrication

NIST EUVL Metrology Programs

SEMATECH Defect Printability Studies

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Lithography Roadmap. without immersion lithography. Node Half pitch. 248nm. 193nm. 157nm EUVL. 3-year cycle: 2-year cycle: imec 2005

R&D Status and Key Technical and Implementation Challenges for EUV HVM

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

EUVL Activities in China

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Eun-Jin Kim, GukJin Kim, Seong-Sue Kim*, Han-Ku Cho*, Jinho Ahn**, Ilsin An, and Hye-Keun Oh

Recent Development Activities on EUVL at ASET

Diffractive optical elements and their potential role in high efficiency illuminators

membrane sample EUV characterization

Impact of EUV Mask Pattern Profile Shape on CD Measured by CD-SEM

EUV projection optics and active mirror development at SAGEM

Optics for EUV Lithography

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

EUV Interference Lithography in NewSUBARU

Performance data of a new 248 nm CD metrology tool proved on COG reticles and PSM s

Registration performance on EUV masks using high-resolution registration metrology

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

Actinic Review of EUV Masks: Performance Data and Status of the AIMS TM EUV System

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Multilayer Collector Optics for Water Window Microscopy

LPP collector mirrors coating, metrology and refurbishment

Line edge roughness on photo lithographic masks

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Development of ultra-fine structure t metrology system using coherent EUV source

R. Lebert 1, K. Bergmann 2, O. Rosier 3, W. Neff 2, R. Poprawe 2

Development Status of EUV Sources for Use in Alpha-, Beta- and High Volume Chip Manufacturing Tools

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Collector development with IR suppression and EUVL optics refurbishment at RIT

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL getting ready for volume introduction

Status of EUVL Multilayer Optics Deposition at RIT

EUVL Mask Manufacturing-Technologies and Results

IN-LAB PELLICLE METROLOGY CHALLENGES

Improving registration metrology by correlation methods based on alias-free image simulation

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

MICROBUMP LITHOGRAPHY FOR 3D STACKING APPLICATIONS

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Lithography Industry Collaborations

EUV Actinic Blank Inspection Tool Development

M. Senoner 1), Th. Wirth 1), W. E. S. Unger 1), M. Escher 2), N. Weber 2), D. Funnemann 3) and B. Krömker 3) INTRODUCTION

Update on 193nm immersion exposure tool

Bandpass Edge Dichroic Notch & More

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Ion Assisted Deposition Processes for Precision and Laser Optics

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Adaptive Optics for LIGO

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

The Issues of Measurement of Optical Hazard Using Photometers EMRP JRP ENG05 Metrology for Solid State Lighting

State-of-the-art thin film X-ray optics for synchrotrons and FEL sources. Frank Hertlein Incoatec GmbH Geesthacht, Germany

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Progresses in NIL Template Fabrication Naoya Hayashi

Inspection of templates for imprint lithography

Kalman Filtering Methods for Semiconductor Manufacturing

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Properties of a Detector

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Focusing X-ray beams below 50 nm using bent multilayers. O. Hignette Optics group. European Synchrotron Radiation Facility (FRANCE) Outline

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

Litho Metrology. Program

Process Optimization

CCD30-11 NIMO Back Illuminated Deep Depleted High Performance CCD Sensor

Optical Microlithography XXVIII

Figure 7 Dynamic range expansion of Shack- Hartmann sensor using a spatial-light modulator

High Performance. Image Intensifiers

Imec pushes the limits of EUV lithography single exposure for future logic and memory

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

Simulation of Quartz phase etch affect on performance of ArF chrome-less hard shifter for 65-nm technology

CBT-90-UV-405 LEDs. CBT-90-UV-405 CBT-120 Product Datasheet. Features: Table of Contents. Applications

New methodology for through silicon via array macroinspection

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

Optical design of a high resolution vision lens

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Low aberration monolithic diffraction gratings for high performance optical spectrometers

Light Sources for High Volume Metrology and Inspection Applications

Transcription:

Critical Challenges of EUV Mask Blank Volume Production Holger Seitz, Markus Renno, Thomas Leutbecher, Nathalie Olschewski, Helmut Popp, Torsten Reichardt, Ronny Walter, Günter Hess SCHOTT Lithotec AG, Jerusalemer Str. 13, D-98617 Meiningen, Germany U. Kleineberg 1, J. Lin 1, U. Neuhäusler 1, N. Weber 2, M. Merkel 2, A. Oelsner 3, G. Schönhense 3 1 University of Bielefeld, Faculty of Physics, Universitätsstr. 25, D-33615 Bielefeld, Germany 2 FOCUS GmbH, Am Birkhecker Berg 20, D-65510 Hünstetten-Görsroth, Germany 3 Johannes Gutenberg University Mainz, Saarstr. 21, D-55122 Mainz, Germany

Outline: Challenge: Achieving a large number of parameters simultaneously on a integrated blank Status of EUV Mask Blanks from SCHOTT Lithotec Performance of available LTEM Substrates Progress in manufacturing defect free blanks EUVL mask blank properties Performance of new TaN-Absorber in mask process Actinic inspection by EUV PEEM Summary 2 Tuesday, November 8th, 2005

Challenge: Achieving a large number of parameters simultaneously Absorber stack: Defectivity, optical properties at EUV and inspection wavelength as well as dry etch performance Multilayer stack: Defectivity, EUV-reflectivity and centroid wavelength- uniformity as well as long term stability LTEM substrates: Defectivity, thermal stability, flatness and roughness Backside coating: Defectivity, electrical conductivity Leading-edge metrology 3 Tuesday, November 8th, 2005

Substrates LTEM-Substrates: Flatness below 70 nm on both sides available Frontside flatness: < 70 nm Backside flatness: < 70 nm Area: 142 mm x 142 mm Area: 142 mm x 142 mm 4 Tuesday, November 8th, 2005

Substrates LTEM-Substrates: Excellent High Spatial Frequency Roughness High Spatial Frequency Roughness of 1.7 Å rms Local slope of 2.3 mrad (250 nm < λ spatial < 5 μm) 5 Tuesday, November 8th, 2005

Defectivity of Quartz Substrates: Excellent substrate defect performance after backside coating Defect inspection by Lasertec M1350 (measured at Sematech North Albany) Pixel 6 corresponds to 80 nm 3 defects achieved above 80 nm 0.01 defects/cm 2 achieved for backside coated quartz substrates 6 Tuesday, November 8th, 2005

Multilayer: Continuous learning on defect reduction over 2 years Each point corresponds to a mean value of total defects over dozens of samples Cut-off size 200 nm PSL equivalent Absolute Front Defects 100000 10000 1000 100 10 Learning curve for Multilayers 1 Jul 02 Jan 03 Aug 03 Mrz 04 Sep 04 Apr 05 Okt 05 0.01 defects /cm² achieved (cut-off size: 150 nm PSL equivalent) 7 Tuesday, November 8th, 2005

EUV-Multilayer Multilayer: Best result on total defect level for EUVL multilayer stack Defect inspection by Lasertec M1350 (measured at Sematech North Albany) Pixel 8 corresponds to 80 nm 0.7 defects/cm 2 achieved for Multilayer Coating on Quartz substrates (cut-off size 80 nm) Learning curve for Multilayers 10 Defect/cm 2 (> 80 nm PSL) 1 0.1 0.01 0.001 Jan 04 Jan 05 Jan 06 Jan 07 8 Tuesday, November 8th, 2005

EUV-Reflectometry Multilayer: EUV-Reflectometer from AIXUV GmbH monitors current blank production and development Throughput up to 3 blanks per hour Mapping capability of 140 mm x 140 mm Absolute accuracy of EUV-reflectivity < 0.5% Absolute accuracy of central wavelength < ± 0.002 nm Calibration by measurements performed at PTB EUV-Reflectivity 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 EUV-Reflectivity of Multilayer 12.3 12.8 13.3 13.8 14.3 Wavelength [nm] AIXUV ESR 9 Tuesday, November 8th, 2005

EUV-Multilayer Multilayer: Excellent performance of central wavelength 1.00% 0.80% Uniformity of Centroid Wavelength 0.4% uniformity of central wavelength achieved Full Range 0.60% 0.40% 0.20% 0.00% 1 2 3 4 5 6 7 8 Blank Number Offset of Centroid Wavelength 0.06 Deviation from Target [nm] 0.04 0.02 0-0.02-0.04 1 2 3 4 5 6 7 8 Offset of central wavelength from target value well below 0.06 nm (Specification according to SEMATECH Roadmap) -0.06 Blank Number 10 Tuesday, November 8th, 2005

Excellent improvements: Integrated EUV mask blank of Schott Lithotec on track towards production specification Defectivity of Multilayers 0.7 defects/cm 2 (>80nm PSL equivalent) achieved EUV-Performance of Multilayers Multilayer-reflectivity > 64% Uniformity of the reflectivity 0.6% Uniformity of central wavelength: ca. 0.5% Offset of central wavelength from target: < 0.05 nm Flatness of LTEM-Substrates Down to 70 nm on both sides 50 nm on quality area of EUV Alpha Demonstrator tools High spatial frequency roughness of LTEM-Substrates 1.7 Angstroem Local slope of LTEM-Substrates 2.3 mrad 11 Tuesday, November 8th, 2005

EUVL Absorber Ta-based Absorber Material: Design for 257 nm inspection wavelength Design includes antireflective coating Low reflectivity <13.5% at 257 nm Thickness uniformity of 3σ < 1% (140 mm x 140 mm) 12 Tuesday, November 8th, 2005

EUVL Absorber Ta-based Absorber Material: Good dry etch performance CD uniformity of 3σ = 6.4 nm Sidewall angle larger than 88 13 Tuesday, November 8th, 2005 Dry etch process developed by IMS Chips Stuttgart/Germany and AMTC Dresden/Germany Further data have been presented at BACUS Photomask 2005

Actinic Inspection EUV PEEM: Actinic mask blank defect inspection by EUV PEEM Goal: Development of a full field high resolution at wavelength microscopy technique for fast detection of small defects (50nm size and smaller) in EUVL mask blanks Future tool production and distribution by Focus GmbH Basic principle of the technique Concept Visualization of the wavefront distortion (caused by defects) of the standing EUV wavefield in the ML using a photoelectron emission microscope (PEEM), taking advantage of high electron emission in surface regions with wave front anti-nodes low electron emission for node regions Wavefront phase for node-antinode adoption accomplished by tuning photon energy around 13.5 nm 14 Tuesday, November 8th, 2005

Actinic Inspection EUV PEEM: EUV PEEM with toroidal multilayer coated microreflector Setup at BESSY II in Berlin Sample stage with Mask blank toroidal refocusing microreflector 15 Tuesday, November 8th, 2005

Actinic Inspection EUV PEEM: Visualization of programmed phase defects 50 nm * 1 µm 1 µm * 1 µm SEM images of an array of 50 nm high Ti nanostructures Images taken BEFORE sputtering the structures with a Mo/Si EUV multilayer 16 Tuesday, November 8th, 2005

Actinic Inspection EUV PEEM: Improved actinic defect sensitivity due to refocusing multilayer optic Mid resolution image : High resolution image : Native defects Field of view : 110 mm Field of view : 25 mm Programmed defect (50 nm * 50 nm) 17 Tuesday, November 8th, 2005

Actinic Inspection EUV PEEM: PEEM can address 30nm defects PEEM resolution tests with Hg-Lamp (250nm radiation) Field of View 13µm Field of View 2.3µm PEEM: Illumination with Reflector (first try) More Moore 040609_05 4400 100% 4200 84% averaged counts 4000 3800 3600 16% 0% 29nm 29 nm Field of View 110µm 3400 300 350 400 450 500 x (nm) 18 Tuesday, November 8th, 2005

Status Summary: EUV mask blanks from SCHOTT Lithotec are available now EUVL mask blanks based on low defect substrates with flatness down to 70 nm 0.4% central wavelength uniformity achieved Low defect dry-etch optimized buffer and absorber 0.01 defects/cm² for backside coatings on quartz Characterized by advanced metrology of LTEM Substrates and EUV Mask Blanks Actinic inspection by EUV PEEM: Enhanced sensitivity by improved multilayer optics Status: 50nm defect sensitivity for programmed phase defects achieved Goal: 20nm defect sensitivity seems achievable 19 Tuesday, November 8th, 2005

Acknowledgements Acknowledgements Would like to thank Florian Letzkus (IMS Chips, Stuttgart/Germany ) as well as Uwe Dersch (AMTC Dresden/Germany) and colleagues for dry etch development German Ministry of Education and Research (contract-no. 13N8853) European project: Medea+ EXTUMASK European project: More Moore (project n : IST 1-507754-IP ) 20 Tuesday, November 8th, 2005