RF Built-In Self-Test for Integrated Cellular Transmitters

Similar documents
RF Built-In Self-Test for Integrated Transmitters Using Sigma-Delta Techniques

Session 3. CMOS RF IC Design Principles

Fabricate a 2.4-GHz fractional-n synthesizer

ECEN620: Network Theory Broadband Circuit Design Fall 2014

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

Cascaded Noise-Shaping Modulators for Oversampled Data Conversion

Receiver Architecture

A COMPACT, AGILE, LOW-PHASE-NOISE FREQUENCY SOURCE WITH AM, FM AND PULSE MODULATION CAPABILITIES

BANDPASS delta sigma ( ) modulators are used to digitize

The Case for Oversampling

6.976 High Speed Communication Circuits and Systems Lecture 17 Advanced Frequency Synthesizers

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs.

A 3 TO 30 MHZ HIGH-RESOLUTION SYNTHESIZER CONSISTING OF A DDS, DIVIDE-AND-MIX MODULES, AND A M/N SYNTHESIZER. Richard K. Karlquist

INF4420. ΔΣ data converters. Jørgen Andreas Michaelsen Spring 2012

BandPass Sigma-Delta Modulator for wideband IF signals

Summary Last Lecture

ECE 6560 Multirate Signal Processing Chapter 13

TUNABLE MISMATCH SHAPING FOR QUADRATURE BANDPASS DELTA-SIGMA DATA CONVERTERS. Waqas Akram and Earl E. Swartzlander, Jr.

RF/IF Terminology and Specs

Interpolation by a Prime Factor other than 2 in Low- Voltage Low-Power DAC

Design & Implementation of an Adaptive Delta Sigma Modulator

Pipeline vs. Sigma Delta ADC for Communications Applications

SiNANO-NEREID Workshop:

Multiple Reference Clock Generator

Design of Bandpass Delta-Sigma Modulators: Avoiding Common Mistakes

f o Fig ECE 6440 Frequency Synthesizers P.E. Allen Frequency Magnitude Spectral impurity Frequency Fig010-03

Outline. Communications Engineering 1

INTRODUCTION TO TRANSCEIVER DESIGN ECE3103 ADVANCED TELECOMMUNICATION SYSTEMS

CHAPTER. delta-sigma modulators 1.0

A High Dynamic Range Digitally- Controlled Oscillator (DCO) for All-DPLL systems is. Samira Jafarzade 1, Abumoslem Jannesari 2

Analog-to-Digital Converter Survey & Analysis. Bob Walden. (310) Update: July 16,1999

FPGA Based Hardware Efficient Digital Decimation Filter for - ADC

FPGA Prototyping of Digital RF Transmitter Employing Delta Sigma Modulation for SDR

Receiver Design. Prof. Tzong-Lin Wu EMC Laboratory Department of Electrical Engineering National Taiwan University 2011/2/21

National Instruments Flex II ADC Technology The Flexible Resolution Technology inside the NI PXI-5922 Digitizer

Introduction. sig. ref. sig

Section 1. Fundamentals of DDS Technology

Introduction to Receivers

A FREQUENCY SYNTHESIZER STRUCTURE BASED ON COINCIDENCE MIXER

System on a Chip. Prof. Dr. Michael Kraft

THE serial advanced technology attachment (SATA) is becoming

CMOS RFIC ARCHITECTURES FOR IEEE NETWORKS

Enhancing Analog Signal Generation by Digital Channel Using Pulse-Width Modulation

Phase Noise and Tuning Speed Optimization of a MHz Hybrid DDS-PLL Synthesizer with milli Hertz Resolution

D/A Resolution Impact on a Poly-phase Multipath Transmitter

ELT Receiver Architectures and Signal Processing Exam Requirements and Model Questions 2018

1 Introduction: frequency stability and accuracy

ADVANCES in VLSI technology result in manufacturing

Introduction to Single Chip Microwave PLLs

Towards an IEEE SDR Transceiver

Sigma-Delta ADC Tutorial and Latest Development in 90 nm CMOS for SoC

CONTINUOUS-TIME (CT) ΔΣ modulators have gained

Receiver Architectures - Part 2. Increasing the role of DSP in receiver front-ends

DECIMATION FILTER FOR MULTISTANDARD WIRELESS RECEIVER SHEETAL S.SHENDE

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver

This article examines

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2

DATA INTEGRATION MULTICARRIER REFLECTOMETRY SENSORS

Receiver Architectures - Part 2. Increasing the role of DSP in receiver front-ends

MULTI-BIT DELTA-SIGMA MODULATION TECHNIQUE FOR FRACTIONAL-N FREQUENCY SYNTHESIZERS WOOGEUN RHEE

Code No: R Set No. 1

DSM Based Low Oversampling Using SDR Transmitter

AM Limitations. Amplitude Modulation II. DSB-SC Modulation. AM Modifications

Modulator with Op- Amp Gain Compensation for Nanometer CMOS Technologies

Keywords: GPS, receiver, GPS receiver, MAX2769, 2769, 1575MHz, Integrated GPS Receiver, Global Positioning System

Fully integrated UHF RFID mobile reader with power amplifiers using System-in-Package (SiP)

ELT Receiver Architectures and Signal Processing Fall Mandatory homework exercises

EE247 Lecture 26. EE247 Lecture 26

Understanding Low Phase Noise Signals. Presented by: Riadh Said Agilent Technologies, Inc.

Amplitude Modulation II

Master Degree in Electronic Engineering

AN X-BAND FREQUENCY AGILE SOURCE WITH EXTREMELY LOW PHASE NOISE FOR DOPPLER RADAR

Reconfigurable 6 GHz Vector Signal Transceiver with I/Q Interface

Issues and Challenges of Analog Circuit Testing in Mixed-Signal SOC

A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD

PXIe Contents SPECIFICATIONS. 14 GHz and 26.5 GHz Vector Signal Analyzer

EE247 Lecture 24. EE247 Lecture 24

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Analog-to-Digital Converters

Local Oscillator Phase Noise and its effect on Receiver Performance C. John Grebenkemper

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS

Keywords: ISM, RF, transmitter, short-range, RFIC, switching power amplifier, ETSI

Power Reduction in RF

Exploring Decimation Filters

Lecture #6: Analog-to-Digital Converter

A CMOS Sigma-Delta Digital Intermediate Frequency. to Radio Frequency Transmitter. Yongping Han

Subminiature, Low power DACs Address High Channel Density Transmitter Systems

Amplitude Modulation, II

CONTINUOUS TIME DIGITAL SYSTEMS WITH ASYNCHRONOUS SIGMA DELTA MODULATION

ECE 627 Project: Design of a High-Speed Delta-Sigma A/D Converter

Chapter 2 Architectures for Frequency Synthesizers

FPGA SerDes Capability as Switch mode PA Modulator

THE BASICS OF RADIO SYSTEM DESIGN

Ten-Tec Orion Synthesizer - Design Summary. Abstract

New Features of IEEE Std Digitizing Waveform Recorders

New RF-to-Digital Architectures for Broadband Communication Systems

A 1.9GHz Single-Chip CMOS PHS Cellphone

CHAPTER 4 DESIGN OF DIGITAL DOWN CONVERTER AND SAMPLE RATE CONVERTER FOR DIGITAL FRONT- END OF SDR

Transcription:

RF Built-In Self-Test for Integrated Cellular Transmitters Christian Münker Infineon Technologies AG P.O. Box 8 9 49 869 München, Germany Email: muenker@ieee.org Robert Weigel Chair of Electronics University of Erlangen-Nuremberg, Germany Email: weigel@lte.e-technik.uni-erlangen.de Abstract A spectral built-in self test BIST) solution for integrated cellular RF transmitters is presented that enables on-chip verification of PLL spectral performance. Multi-tone FM test signals with a spurious-free dynamic range SFDR) of 6 db are generated without compromising the performance of the RF transmitter itself. The RF signal is demodulated and itized in an on-chip ital FM discriminator, spectral analysis is performed using ital narrowband filtering. The additional BIST blocks are fully ital and have been implemented on a chip area of only.5 mm 2 in a 3 nm CMOS technology. The test blocks allow fast measurement of PLL frequency response, level of spurious sidebands and in-band phase noise down to -8 dbc without external test equipment. Catastrophic faults and most parametric faults can be detected as long as they influence the loop bandwidth or deteriorate spectral performance. I. INTRODUCTION Until a few years ago, production test for RF ICs required a good understanding of RF measurement techniques and equipment but little Design-for-Test DfT) or even BIST capabilities due to the low device complexity. The advent of mainstream CMOS technologies with transit frequencies exceeding GHz has changed the picture: Fired up by a general trend towards wireless devices, RF ICs have become highly integrated, high volume commodity products for consumer markets with fierce competition and shrinking profit margins. As a consequence, test costs account for a growing percentage of the total production costs, turning DfT and BIST into an economic necessity for RF ICs. Recently, several loop back test concepts have been proposed for integrated RF transceivers where the on-chip receiver RX) is reused for demodulating the RF transmit TX) signal. While loop back concepts look very appealing due to low area overhead, there are some pitfalls for the practical implementation: Most standards use frequency division duplexing, requiring to run either RX or TX path outside the specified frequency range during loop back test. RX and TX path usually have very different requirements concerning dynamic range, distortions etc., preventing a thorough test of both paths. Chips built for time-division multiplex access systems like GSM or Bluetooth are not specified for or even capable of operating RX and TX path at the same time. Additionally, loop back test is an overall Data Reference Frequency TX Filter Dig. Multitone Generator f PFD DSP TE Loop Filter f d qn) Channel Word FM VCO N / N+ ital SDM Discriminator RF out Fig. : Fractional-N modulator with BIST blocks dashed lines) system test, giving no information on the cause of the failure which is important for yield optimization. For these reasons, an hierarchical test of the building blocks of an SOC is favored. PLLs are building blocks that are especially hard to test because they are completely embedded in most SOCs with no direct access to their analog ports. This paper presents a novel RF BIST approach for autonomous, specification oriented test of RF PLLs. Spectral parameters like frequency response, in-band phase noise or the level of spurious sidebands can be measured on-chip and compared directly to the component s specification without disturbing performance critical RF paths. The BIST functionality is achieved using robust, ital signal processing blocks with little area penalty due to the high integration density of the 3 nm CMOS technology. The BIST blocks have been integrated on an RF transmitter utilizing a ital sigma-delta modulator Fig. ). This architecture is commonly used for highly integrated RF CMOS transceivers because it is well adapted to CMOS technologies [].

Section II describes the generation of ital multi-tone signals and section III how to upconvert these stimuli to the RF domain. Section IV shows how to demodulate and itize the RF signal without analog downconversion or high-resolution ADCs. Section V demonstrates an efficient way for on-chip spectral analysis of the demodulated bit stream by applying ital narrowband filtering. II. DIGITAL MULTI-TONE GENERATION The main building block for generating multi-tone signals is a ital oscillator Fig. 2), realized with lossless ital integrators LDI) [2]. LDI based structures are derived from lossless LC - ladder filters; similar to Wave Digital Filters WDF) they can be implemented with short coefficients. Coefficient truncation errors in LDI based circuits only influence the resonance resp. filter frequency but do not compromise stability or noise performance [3] which makes this principle a good choice for very compact circuits with moderate precision requirements. a x n+) a x an) b x a n) the oversampled oscillator. The achievable signal-to-noise ratio SNR depending on the oversampling ratio OSR = f S /2BW is [4] SNR = π2 6 OSR 5/2. 4) The PLL under test has a loop bandwidth of khz and attenuates quantization noise at higher frequencies. For a twotone generator L = 2) running with a sampling frequency of 26 MHz, the oversampling ratio is OSR = f s,e f f /2BW = 3 MHz/2 khz = 65. The resulting SNR given by 4) is 89 db. xn) a = 2 α z Accumulator A Accumulator B z ital SDM f /2 s MUX +b b +b 2 b 2 + Quantizer xd n) a xdn) x n) b x bn+) Fig. 3: Two-tone LDI oscillator using SDM attenuator Fig. 2: Principle of LDI oscillator Analytical expressions for oscillation frequency ω sig and amplitude x a, depending on sampling frequency f s, coefficients a, b and the initial conditions x a ) and x b ), have been derived in [4]. For x b ) = and small coefficients ab the following approximations hold true ω sig = arccos ab ) f s ab f s ) 2 φ a arctan 2x a) π/2 2) ab ˆx a x a) sinφ a x a ), 3) showing that amplitude and frequency can be controlled independently. A compact implementation of the oscillator in Fig. 2 is achieved by replacing one multiplier with a fixed bit shifter a = 2 α ). The other one is substituted by a sigma-delta attenuator that first converts the N bit wide data xn) into an equivalent oversampled single-bit stream xdn) with a Sigma-Delta Modulator SDM). Multiplication of xdn) with the constant coefficient b then only requires selection of +b or b, depending on xdn). L tones can be generated in parallel with the same hardware by using time division multiplexing, reducing the effective sampling rate to f s,e f f = f s /L. This modification requires only four additional registers per tone Fig. 3) [4]. The quantization noise of the SDM limits the useful signal bandwidth BW of The implemented two-tone generator has a frequency range of 5...8 khz and achieves an SFDR of 6 db with a word length of 5 bits, requiring a chip area of.2 mm 2. III. RF SIGNAL GENERATION Multi-tone RF stimuli are easily generated by combining the multi-tone generator from the last section with a ital sigma-delta modulation transmitter Fig. ). Due to the inherent low-pass characteristic of the PLL, no additional filter is needed to reconstruct the sine tones from the oversampled data stream. The output frequency f out of a fractional-n PLL with a reference frequency f re f and a division ratio N = N I +N F, consisting of integer part N I and fractional part N F = FRAC/2 w f, is given by f out = f re f N I + FRAC ) 2 w f = N f re f 5) where w f is the word length of the fractional accumulator and FRAC is the fractional word. The PLL is frequencymodulated in the ital domain by adding modulation data Dn) to the fractional word. The modulation data is low-pass filtered by the closed loop transfer function Gs) of the PLL [5]. A fast verification of Gs) can be perfomed by using the two-tone generator from section II and measuring the frequency response. Within the loop bandwidth, Gs) and the ital data directly affects the PLL frequency:

db / Hz) 2 2 3 4 5 6 7 Samples: 2499 Avg. Freq. = 4.e+9Hz Max: 23.7 db at 5799.9 Hz Loop Characteristic NBW = 6. Hz 27.8 db Hz) 8 4 5 6 Offset Frequency from Carrier Hz) Fig. 4: Simulated two-tone spectrum at the PLL output f out n) f re f N I + ) FRAC+ Dn) 2 w f = f re f N + Dn) ) 2 w f 6) When Dn) is a ital sine wave with frequency f mod and amplitude ˆm = max[dn)], a peak PLL frequency deviation f is created of f = ˆm 2 w f f re f 7) IV. DIGITAL FM DISCRIMINATOR On-chip analysis of the PLL transmit signal requires extracting and itizing the RF phase / frequency information from the carrier which is a narrowband signal with large, constant amplitude. A standard RF receiver architecture is optimized for detecting low-level RF signals in the presence of strong interferers. It requires large area, precision analog circuitry like a mixer and a high resolution ADC. Instead, a much simpler demodulation technique is applied: a first order ital FM discriminator only consists of a high-speed dual-modulus divider and a D-flip-flop Fig. 5) and delivers a sigma-delta modulated bit stream containing the demodulated data. f ref f RF Channel Word Integer Part) Σ N / N+ N mod Dual Modulus Divider f div DFF D Frequency Discriminator Demod Out Fig. 5: First order sigma-delta frequency discriminator SDFD) The ital sigma-delta frequency discriminator and itizer SDFD) in [8] has been used for demodulating an FM IF signal. The proposed frequency discriminator takes advantage of the high transit frequency of the 3nm CMOS technology; it is directly clocked with the 4GHz signal of the VCO to create an even simpler RF BIST architecture. for ˆm < 2 w f. This corresponds to a peak modulation index ˆµ of ˆµ = f f mod = ˆm 2 w f f re f f mod. 8) 2 Samples: 456 SDM Demodulated Bitstream and CIC Characteristic RBW = 7.4 Hz 3.3 db) With f re f = 26 MHz, f mod = khz, w f = 22 and ˆm = 96 a GSM - like frequency deviation of f = 67.7 khz is achieved. The modulation index is ˆµ =.68. Fig. 4 shows a simulated two-tone signal at the output of a PLL with a loop bandwidth of khz. The low-pass characteristic of the PLL is marked by the bold line. The tone outside the loop bandwidth is attenuated by approx. 2 db compared to the in-band tone which can be easily verified in a production test setup using conventional equipment or the built-in FM discriminator section IV). All simulations were performed with a standard VHDL simulator, using the methodology described in [6], [7]. The complete circuit in Fig. including analog blocks like VCO and loop filter was modeled in VHDL, the simulated period data of the VCO and the filter response section V) were dumped to a file and post-processed using Matlab. db / Hz) Spurs) 4 6 8 2 3 4 5 6 7 Fig. 6: Two-tone spectrum at SDFD output and transfer function of 2 nd order CIC The frequency deviation of the TX signal has to be limited to f < f re f /2 to avoid aliasing, which is fulfilled automatically as the PLL bandwidth has to be much lower than f re f for stability reasons. Just as the input signal of a sigma-delta ADC must not exceed the quantizer input step ±q to avoid

an overload condition, the input frequency f RF of the SDFD has to be within the limits 6 5 CIC filtered data N f re f < f RF < N + ) f re f 9) which is satisfied by using the channel word as the lower division ratio for the dual-modulus divider. Under these conditions, the demodulated output of the SDFD Fig. 5) is an oversampled, first order sigma-delta modulated approximation of the frequency modulating signal as shown in Fig. 6. db / Hz) Spurs) 4 3 2 Samples: 4476 Freq. Points: 56 Peak Value = 89.64 db at Hz RBW = 89. Hz 3.4 db) x SDMn) f = 26 MHz S Decimator BP Filter Rectifier Averager CIC 32 CIC 256 f = 82.5 khz S,R Fig. 7: Block diagram of amplitude estimator A 2 3 4 5 Fig. 9: Output spectrum of second order CIC decimator The 2 db/dec rise of the noise floor is due to the noiseshaping characteristic of the first order SDFD, the spurious tones at higher frequencies are still under analysis. V. DIGITAL NARROWBAND FILTERING On-chip spectral analysis of the demodulated bit stream is a highly desirable feature because it eases the requirements on the production tester and enables device self-test in the final product. Instead of implementing a full-blown FFT, a narrowband frequency analysis is performed to estimate the amplitude only of certain frequency components. First, the SDM bit stream is decimated and pre-filtered with a cascaded integrator-comb CIC) filter. The band of interest is then selected with a narrow, programmable LDI bandpass filter whose output is rectified and averaged in another CIC filter Fig. 7). x n) i Integrator Rate Changer RES Comb Filter x o n/r) R Fig. 8: Second order CIC filter with dump and reset The first stage of this amplitude estimator is a second order CIC filter that decimates the SDM bit stream by a factor of R = 32. Its multiplier-less structure allows for a very compact implementation while running with the full sampling rate of f S = 26 MHz Fig. 8) [9]. At least a second order CIC filter is required to suppress the high-frequency quantization noise components of the first order SDM bit stream with a maximum around f S /2 = 3 MHz. ) predicts a worst case suppression of a second order N = 2) CIC with R = 32 near f S /2 of 6 db at 2.6 MHz F = 5.5) which is still sufficient to avoid excessive aliasing of quantization noise for this application. HF) H) = sinπf sin πf R N R N sincπ f N with F. = f R f S ) The spectrum of the decimated two-tone signal is limited to f S /32 = 82.5kHz Fig. 9). Some quantization noise is folded back into the signal band, as can be seen near DC. x in) k bw k f z k f Fig. : Second order LDI BP filter x bpn) The narrow bandpass is implemented with a LDI structure similar to the multitone generator from section II with an additional damping term k BW Fig. ) that determines the bandwidth. A main advantage of this filter type is is its robustness against coefficient truncation: k f is only 9 bits wide which gives a frequency resolution of 3 Hz without compromising noise performance. Another advantage is that the resonance frequency f c is set with a single parameter k f ). f c = f S,R π arcsin k f 2 k f f S,R ) 2π The reduced sampling rate of f S,R = 82.5 khz allows the sharing of area expensive resources between blocks in the bandpass, here, a fourth order band-pass with parametrized center frequency is implemented with only one multiplier in an area of less than.3 mm 2. Simultaneous multitone analysis could be performed at the cost of additional chip area by running several resonators in parallel [3]. Fig. shows the simulation plot of the demodulated and bandpass-filtered signal of Fig. 4 with the bandpass centered at the second tone. The integrated spectrum indicates that the

suppression of the first tone and other disturbances is more than sufficient for a precise amplitude measurement. VI. CONCLUSIONS In this paper, an area efficient method for a BIST of integrated RF transmitters, focused on spectral parameters has been presented. It relies entirely on ital components and has been implemented on a 3nm CMOS test chip in an area of only.5 mm 2. Measurement data will be available in summer. Multi-tone stimuli with a SFDR of 6 db are generated utilizing a robust LDI oscillator and upconverted using the sigma-delta modulation transmit PLL. The FM modulated RF signal is downconverted and itized with a ital FM discriminator, achieving a SNR of 8 dbc. Spectral performance is measured on-chip using a compact, narrowband LDI bandpass. The implemented BIST architecture does not interfere with critical RF signal paths as the signal generation and demodulation is performed entirely in the ital domain, making it well suited for highly integrated RF CMOS circuits. ACKNOWLEDGMENT Parts of this work were funded by the BMBF in the EKompaSS project Nr. M37 DETAILS. [2] A. Lu and G. Roberts, An analog multi-tone signal generator for built-in-self-test applications, in Test Conference, 994. Proceedings., International, Oct. 994, pp. 65 659. [3] M. Padmanabhan and K. Martin, Resonator-based filter-banks for frequency-domain applications, Circuits and Systems, IEEE Transactions on, vol. 38, no., pp. 45 59, 99. [4] A. Lu, G. Roberts, and D. Johns, A high-quality analog oscillator using oversampling D/A conversion techniques, Circuits and Systems II: Analog and Digital Signal Processing, IEEE Transactions on, vol. 4, no. 7, pp. 437 444, 994. [5] E. Goetz, H. Kroebel, G. Maerzinger, B. Memmler, C. Muenker, B. Neurauter, D. Roemer, J. Rubach, W. Schelmbauer, M. Scholz, M. Simon, U. Steinacker, and C. Stoeger, A quad-band low power single chip direct conversion CMOS transceiver with Σ -modulation loop for GSM, in Solid-State Circuits Conference, 23. ESSCIRC 3. Proceedings of the 29th European, Portugal, Sep. 23, pp. 27 22. [6] C. Muenker, Fast simulation of complex RF mixed-signal systems using standard VHDL, in Workshop Mixed-Signal Design Methodology & Environment at the RFIC24, Fort Worth, USA, Jun. 24. [7] R. Staszewski, C. Fernando, and P. Balsara, Event-driven simulation and modeling of phase noise of an RF oscillator, Circuits and Systems I: Fundamental Theory and Applications, IEEE Transactions on, vol. 52, no. 4, pp. 723 733, 25. [8] R. Beards and M. Copeland, An oversampling delta-sigma frequency discriminator, Circuits and Systems II: Analog and Digital Signal Processing, IEEE Transactions on, vol. 4, no., pp. 26 32, 994. [9] S. Norsworthy, R. Schreier, and G. T. Eds.), Eds., Delta-Sigma Data Converter: Theory, Design, and Simulation. New York, USA: IEEE Press, 997. REFERENCES [] C. Muenker, B.-U. Klepser, B. Neurauter, and C. Mayer, Digital RF CMOS transceivers for GPRS and EDGE, in Radio Frequency integrated Circuits RFIC) Symposium, 25. Digest of Papers. 25 IEEE, 25, pp. 265 268. 6 BandpassFiltered Demodulated Spectrum 5 4 3 Samples: 4476 Peak Value = 59.45 db at.2924e+5 Hz RBW = 89. Hz 3.4 db) db / Hz) 2 Integrated Spectrum 2 3 4 4 5 Fig. : Demodulated and bandpass-filtered two-tone spectrum