Characterization of Actinic Mask Blank Inspection for Improving Sensitivity

Similar documents
EUV Actinic Blank Inspection Tool Development

Recent Activities of the Actinic Mask Inspection using the EUV microscope at Center for EUVL

Defect printability of thin absorber mask in EUV lithography with refined LER resist

Comparison of actinic and non-actinic inspection of programmed defect masks

Diffractive optical elements and their potential role in high efficiency illuminators

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

EUV Micro-Exposure Tool (MET) for Near-Term Development Using a High NA Projection System

X-ray generation by femtosecond laser pulses and its application to soft X-ray imaging microscope

SEMATECH Defect Printability Studies

National Projects on Semiconductor in NEDO

Fabrication and alignment of 10X-Schwarzschild optics for F2X experiments

BEAM HALO OBSERVATION BY CORONAGRAPH

Akira Miyake, Chidane Ouchi, International EUVL Symposium, October , Kobe Slide 1

Progress towards Actinic Patterned Mask Inspection. Oleg Khodykin

Bias errors in PIV: the pixel locking effect revisited.

Mask Technology Development in Extreme-Ultraviolet Lithography

State of the art EUV mask blank inspection with a Lasertec M7360 at the SEMATECH MBDC

2009 International Workshop on EUV Lithography

EUV Interference Lithography in NewSUBARU

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

Development of ultra-fine structure t metrology system using coherent EUV source

Advanced 3D Optical Profiler using Grasshopper3 USB3 Vision camera

Evaluation of Technology Options by Lithography Simulation

Nikon EUVL Development Progress Update

Water-Window Microscope Based on Nitrogen Plasma Capillary Discharge Source

Focusing X-ray beams below 50 nm using bent multilayers. O. Hignette Optics group. European Synchrotron Radiation Facility (FRANCE) Outline

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

Sub-nanometer Interferometry Aspheric Mirror Fabrication

Contouring aspheric surfaces using two-wavelength phase-shifting interferometry

Recent Development Activities on EUVL at ASET

Lander University 10 Spin-Cast Epoxy Mirror Tests Bruce Holenstein and Dylan Holenstein/Gravic March 12, 2011 *** Preliminary ***

Critical Challenges of EUV Mask Blank Volume Production

Reliable High Power EUV Source Technology for HVM: LPP or DPP? Vivek Bakshi, Ph.D. EUV Litho, Inc.

EUVL getting ready for volume introduction

Photon Diagnostics. FLASH User Workshop 08.

EUV Lithography Transition from Research to Commercialization

MASK 3D EFFECTS FIRST EXPERIMENTAL MEASUREMENTS WITH NA 0.55 ANAMORPHIC IMAGING

Difrotec Product & Services. Ultra high accuracy interferometry & custom optical solutions

plasmonic nanoblock pair

CODE V Introductory Tutorial

The Henryk Niewodniczański INSTITUTE OF NUCLEAR PHYSICS Polish Academy of Sciences ul. Radzikowskiego 152, Kraków, Poland.

The Coherent EUV Scatterometry Microscope for Actinic Mask Inspection and Metrology

The future of EUVL. Outline. by Winfried Kaiser, Udo Dinger, Peter Kuerz, Martin Lowisch, Hans-Juergen Mann, Stefan Muellender,

Calibration of AO Systems

Zoneplate lenses for EUV microscopy. EUVL workshop 2009 Iacopo Mochi, Kenneth A. Goldberg, Erik H. Anderson, Sungmin Huh

Progress & actual performance of the Selete EUV1

Design Description Document

Optical design of a high resolution vision lens

Laser Produced Plasma Light Source for HVM-EUVL

3.0 Alignment Equipment and Diagnostic Tools:

Projection Systems for Extreme Ultraviolet Lithography

Proposed Adaptive Optics system for Vainu Bappu Telescope


Key Challenges in EUV Mask Technology: Actinic Mask Inspection and Mask 3D Effects. Yow-Gwo Wang

Hartmann wavefront sensing Beamline alignment

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

1. INTRODUCTION ABSTRACT

WaveMaster IOL. Fast and accurate intraocular lens tester

Using Stock Optics. ECE 5616 Curtis

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Present Status of the ASET At-Wavelength Phase-Shifting Point Diffraction Interferometer

Design of the cryo-optical test of the Planck reflectors

Pixel hybrid photon detectors

Challenges of EUV masks and preliminary evaluation

EUV projection optics and active mirror development at SAGEM

On-line spectrometer for FEL radiation at

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Optical Components for Laser Applications. Günter Toesko - Laserseminar BLZ im Dezember

k λ NA Resolution of optical systems depends on the wavelength visible light λ = 500 nm Extreme ultra-violet and soft x-ray light λ = 1-50 nm

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Carl Zeiss SMT. ACTOP 2008: Presentation Carl Zeiss Laser Optics. H. Thiess. LO-GOO Oct. 9, 2008

Spatially Resolved Backscatter Ceilometer

Large Field of View, High Spatial Resolution, Surface Measurements

CaSSIS. Colour and Stereo Surface Imaging System. L. Gambicorti & CaSSIS team

WaveMaster IOL. Fast and Accurate Intraocular Lens Tester

FIRST INDIRECT X-RAY IMAGING TESTS WITH AN 88-mm DIAMETER SINGLE CRYSTAL

Adaptive Optics for LIGO

Light Sources for High Volume Metrology and Inspection Applications

Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication

EUVL Activities in China

EUV Multilayer Fabrication

Optics for next generation light sources

Shaping light in microscopy:

Actinic Review of EUV Masks: Status and Recent Results of the AIMS TM EUV System

Wavefront Sensing In Other Disciplines. 15 February 2003 Jerry Nelson, UCSC Wavefront Congress

Case Study: Custom CCD for X-ray Free Electron Laser Experiment

Supplementary Figure 1. GO thin film thickness characterization. The thickness of the prepared GO thin

Atomic Resolution Imaging with a sub-50 pm Electron Probe

Large-Area Interference Lithography Exposure Tool Development

Characteristics of point-focus Simultaneous Spatial and temporal Focusing (SSTF) as a two-photon excited fluorescence microscopy

EUV Substrate and Blank Inspection

ECEN 4606, UNDERGRADUATE OPTICS LAB

Status of the Electron Beam Transverse Diagnostics with Optical Diffraction Radiation at FLASH

Registration performance on EUV masks using high-resolution registration metrology

Challenges of Optics for High Repetition Rate XFEL Source

Lecture Notes 10 Image Sensor Optics. Imaging optics. Pixel optics. Microlens

Multi-aperture camera module with 720presolution

Progress in full field EUV lithography program at IMEC

Correlation of mid-spatial features to image performance in aspheric mirrors

ANECHOIC CHAMBER EVALUATION

Transcription:

Characterization of Actinic Mask Blank Inspection for Improving Sensitivity Yoshihiro Tezuka, Toshihiko Tanaka, Tsuneo Terasawa, Toshihisa Tomie * M-ASET, Tsukuba, Japan * M-ASRC, AIST, Tsukuba, Japan E-mail: tezukay@mirai.aist.go.jp Y. Tezuka, M 25 EUVL Symposium, Nov.7 th 1

Outline Introduction Goal / positioning of M Experimental setup / Inspection image Opportunity factors PSF characterization Mask blank roughness Sensitivity prediction Risk factors Defect seed dependency Prototype design Summary Y. Tezuka, M 25 EUVL Symposium 2

Positioning of Research in M Goals Phase 1 (~Mar 4) Demonstrate POC of novel mask blank inspection Successfully Completed! Phase 2 (~Mar 6) Complete design of full-field prototype Opportunities and risks identified from POC tool Scope Development of advanced yet affordable mask blank inspection tool to: Support multilayer process development Study future generation capability -> Deliver top quality mask blank in EUVL pilot phase Y. Tezuka, M 25 EUVL Symposium 3

POC Tool & Inspection Image YAG Laser Zr Filter Ellipsoidal Mirror PC Zr filter Illuminator chamber Imaging optics chamber CCD camera EUV Spherical Mirror Tape Target CCD Camera (pixel=13µm) YAG Laser Mask blank doorway 625 Mask Blank Plane Mirror Schwarzschild Optics (2x, NA=.2) Light source chamber Clean Room Class 1 42x7nm FWHM x Height 8x5nm 7x3.5nm.5 mm Courtesy of Y. Tezuka, M 25 EUVL Symposium 4

Through Focus Images -4µm -3µm -2µm -1µm µm +1µm +2µm +3µm +4µm Fraction in Center Pixel.4.35.3.25.2.15.1.5 5x5 i, j A 3,3 BG ( A i BG ), j Local Local No. 25 No. 49-6 -4-2 2 4 6 Defocus (µm) Single pixel can collect only 25~35% even at best focus on optical axis +5µm +6µm +7µm -> Need quantitative analysis Y. Tezuka, M 25 EUVL Symposium 5

PSF Characterization Pixel Intensity P Fitting variables: x x PSF FWHM (µm) i,j D =, y D 25. 2. 15. 1. 5.. 1 2πσ σ x y P x x i,j : i+ 1 + 1 i D y j exp j, y, σ, σ y D : Defect coordinate within a pixel x 2 ( x x ) ( y y ) y D 2 2σ x + D 2 2σ y 2 dxdy 1 2 3 4 5 Defect No. Fitting 13µm PSF(FWHM) = 18.4 µm +/-.5 µm (95% Confidence Interval) Image height non-dominant -> Blur Budget Analysis Y. Tezuka, M 25 EUVL Symposium 6

Image Blur Budget Analysis Total PSF Optics PSF CCD PSF Field Curvature (Defocus) Aberration Encircled Energy Ratio 1.2 1.8.6.4.2 Estimate from 55 Fe photon counting image Good Agreement! Mirror data (geometric) Total PSF - CCD PSF (Experiment) 1 2 3 4 Estimate from Interferometry PSF Component : 66% CCD, 31% Optics Sensitivity will be improved by: 1. Higher magnification, even w/o optics quality improvement 2. CCD PSF improvement Y. Tezuka, M 25 EUVL Symposium 7

Defect Position Estimate Accuracy Y Coordinate (pixel) 479 478 477 476 475 474 473 472 471 47 469 468 1 pixel on mask = 65nm Programmed Defects 1 2 3 4 5 Defect No. Fitting Residual (pixel).5.4.3.2.1 -.1 -.2 -.3 -.4 -.5 1 2 3 4 5 Defect No. 3σ =.13 pixel = 87nm on mask Positional identification capability with sub-pixel resolution demonstrated Y. Tezuka, M 25 EUVL Symposium 8

Mask Blank Surface Roughness 1 B P i 2 16π fmax R ( ) 2 f 2 π λ fmin PSD( f ) df 1 rms =.145 nm in 1µm sq. PSD (nm4) 1 1 1 1.1 Experiment Assumed model.1.1.1.1 Spatial Frequency (1/nm) 1.8x BG intensity of assumed model Reduction of mask blank roughness critical, especially in spatial period range ~1nm Y. Tezuka, M 25 EUVL Symposium 9

Sensitivity Derivation Extrapolation from Experiments + Statistical scaling Signal Intensity (SBR) SNR 8 7 6 5 4 3 2 1 1 2 3 4 5 Volume (nm 3 ) S( volume) B( roughness, pixel) σ ( pixel) r ξ ( PSF) f ( PSF) pixel-to-pixel variability (%) = Normalized SNR 25 2 15 1 5 1.2 1.8.6.4.2.2.4.6.8 1 1.2 pixel size (µm).5 1 1.5 2 2.5 PSF FWHM (pixel) Y. Tezuka, M 25 EUVL Symposium 1

Sensitivity Prediction FWHM of 2nm-high Detectable Defects at SNR>7 Detection Probability (%) 1 Current MAG x 1.3 + PSF x.7 + BG x.7 33nm 39nm 4nm 42nm 47nm 48nm 48nm 55nm defect pixel Worst case location = largest undetectable Best case location = smallest detectable Defect Width FWHM (nm) Blank Roughness Reduction is Essential! Y. Tezuka, M 25 EUVL Symposium 11

Risk Factors Signal Intensity (SBR) 8 7 6 5 4 3 2 1 y =.153 x 6nm w x 1nm h Binarized Image Natural Defect 1 2 3 4 5 Volume (nm 3 ) AFM Profile 6nm Question: Weakness for 1nm-high defects? 1nm Y. Tezuka, M 25 EUVL Symposium 12

Height Dependence 7nm 1nm Intensity (arb. units) 3 25 2 15 1 5 7nm 1nm 2 4 6 8 1 Pixel 3µm 1nm-high step intensity ~1/6 -> FDTD EM Simulation Y. Tezuka, M 25 EUVL Symposium 13

2D wide line defect model Gaussian FWHM Gaussian + Flat +Gaussian Slope width: SW 9% 1% Defect height: H Shape: Gaussian Si layer Mo layer Period: 6.98 nm Bilayer #: 4 Simulated by EM-Suite TM Y. Tezuka, M 25 EUVL Symposium 14

Signal Intensity Simulation Intensity (arb. units) 1 8 6 4 2 FWHM 6nm 9nm 12nm NA in =.1 NA out =.2 (SW) (43nm) (65nm) (86nm) 7nm 1nm AFM profile of a truncated pyramid defect 2 4 6 8 1 12 Defect Height (nm) 53nm EM Simulation corroborates Intensity difference between 7nm and 1nm EM Simulation suggests intensity also depends on slope width Y. Tezuka, M 25 EUVL Symposium 15

Smoothing Mode Dependence Mode 1 Linear Layer 4 Mode 1 Decelerated Layer 4 Mode 3 Accelerated Layer 4 Intensity (arb. units) Top Height = 2nm Fixed Bottom height & smooth speed dependence 35 3 25 2 15 1 5 mode 1 mode 2 mode 3 NA in =.1 NA out =.2 2 4 6 8 1 12 Bottom Height (nm) 1nm-seeded defect may show low signal intensity uncorrelated with printability Y. Tezuka, M 25 EUVL Symposium 16

EUV Scattering Simulation Angular distribution from 2D Gaussian Line defect Intensity (Arb. units).7.6.5.4.3.2.1. FWHM:6nm.3.2.1 NA.1.2.3 Height 2nm 4nm 6nm 8nm 1nm -3-2 -1 1 2 3 Angle (deg) Higher NA is NOT a universal solution - background increase will surpass signal increase for low defects Y. Tezuka, M 25 EUVL Symposium 17 Background Intensity (%).7.6.5.4.3.2.1 Background intensity dependence on NA Assumed model Actual PSD.15.2.25.3.35 Outer NA

Full-field Prototype Design CCD Camera (TDI Operation) EUV Light Source 26x optics Mask Stage Synchronized Control Load Lock Model MIRACL-1 (1) Specification Objects 625 EUVL Mask Blanks Sensitivity < 4nm w x 2nm h (2) Throughput 2hrs/blank Pos. Accuracy < 25nm Light Source Illumination Imaging Optics Sensor Stage Software Alignment Interface Configuration wavelength=13.5nm, DPP, Every pulse Triggerble Critical Illumination, Ellipsoidal mirror + Plane mirror, Illum. area >.5mm sq. 26x Schwarzshild Optics, Inner NA =.1, Outer NA =.2~.3 Back-illuminated CCD, TDI Operation, Synchronized with light source pulses Continuous move, Interferometer feedback Automatic defect cllasification, Size inference, Position identification Focus / Position alignment function by fiducal mark SMIF-capable, EUV mask handling standard compliant (1: Maskblank Inspection for Reflective multilayer by ACtinic Light) (2: in use of ultra smooth blank) Y. Tezuka, M 25 EUVL Symposium 18

Summary Sensitivity-limiting factors characterized, improvement path identified Magnification, PSF, blank roughness are critical to bring sensitivity to hp 32nm node Potential risks identified Seeds height dependence, profile / smoothing dependence need continuous study Full-field prototype design nearly complete Risk mitigation paths explored Y. Tezuka, M 25 EUVL Symposium 19

Acknowledgments We would like to acknowledge : T. Shoki, K. Yamashiro, Y. Usui and O. Nagarekawa of HOYA Corporation for their fabrication of the programmed defect mask blank. Y. Sugiyama of Nikon Corporation for providing data on mirror quality of the Schwarzschild optics This work was performed as part of a Ministry of Economy, Trade and Industry (METI) Project of Japan under contract with the New Energy and Industrial Technology Development Organization (NEDO). Y. Tezuka, M 25 EUVL Symposium 2