High Performance Digital Fractional-N Frequency Synthesizers

Similar documents
High Performance Digital Fractional-N Frequency Synthesizers. IEEE Distinguished Lecture Lehigh Valley SSCS Chapter

Short Course On Phase-Locked Loops IEEE Circuit and System Society, San Diego, CA. Digital Frequency Synthesizers

6.976 High Speed Communication Circuits and Systems Lecture 17 Advanced Frequency Synthesizers

A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator

Sigma-Delta Fractional-N Frequency Synthesis

6.776 High Speed Communication Circuits Lecture 23. Design of Fractional-N Frequency Synthesizers and Bandwidth Extension Techniques

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I)

A single-slope 80MS/s ADC using two-step time-to-digital conversion

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

Phase-Locked Loops and Their Applications. Advanced PLL Examples (Part II)

Techniques for High-Performance Digital Frequency Synthesis and Phase Control. Chun-Ming Hsu

A Wide-Bandwidth 2.4GHz ISM Band Fractional-N PLL with Adaptive Phase Noise Cancellation. Outline

SiNANO-NEREID Workshop:

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

ECEN620: Network Theory Broadband Circuit Design Fall 2014

Analog Frequency Synthesizers: A Short Tutorial. IEEE Distinguished Lecture SSCS, Dallas Chapter

A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider. Hamid Rategh, Hirad Samavati, Thomas Lee

Integrated Circuit Design for High-Speed Frequency Synthesis

/$ IEEE

A Wide Tuning Range (1 GHz-to-15 GHz) Fractional-N All-Digital PLL in 45nm SOI

Multiple Reference Clock Generator

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs.

A Frequency Synthesis of All Digital Phase Locked Loop

6.976 High Speed Communication Circuits and Systems Lecture 21 MSK Modulation and Clock and Data Recovery Circuits

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique

A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver. Hamid Rategh

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop

Modeling And Implementation of All-Digital Phase-Locked Loop Based on Vernier Gated Ring Oscillator Time-to-Digital Converter

A 45-nm SOI-CMOS Dual-PLL Processor Clock System for Multi-Protocol I/O

A 60GHz Sub-Sampling PLL Using A Dual-Step-Mixing ILFD

ECEN620: Network Theory Broadband Circuit Design Fall 2012

Phase Noise and Tuning Speed Optimization of a MHz Hybrid DDS-PLL Synthesizer with milli Hertz Resolution

AN4: Application Note

6.776 High Speed Communication Circuits and Systems Lecture 14 Voltage Controlled Oscillators

Choosing Loop Bandwidth for PLLs

Enhancement of VCO linearity and phase noise by implementing frequency locked loop

Wideband Synthesizer with Integrated VCO ADF4351

CHAPTER. delta-sigma modulators 1.0

24-bit Step Size, Resolution 3 Hz typ Exact Frequency Mode Built-in Digital Self Test 40 Lead 6x6mm SMT Package: 36mm 2. Phased Array Applications

Dedication. To Mum and Dad

24-bit Step Size, Resolution 3 Hz typ Exact Frequency Mode Built-in Digital Self Test 40 Lead 6x6mm SMT Package: 36mm 2. Phased Array Applications

Research Article Semidigital PLL Design for Low-Cost Low-Power Clock Generation

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor

Chapter 2 Architectures for Frequency Synthesizers

THE UNIVERSITY OF NAIROBI

A MASH ΔΣ time-todigital converter based on two-stage time quantization

26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone

EE247 Lecture 22. Figures of merit (FOM) and trends for ADCs How to use/not use FOM. EECS 247 Lecture 22: Data Converters 2004 H. K.

A GHz Wideband Sub-harmonically Injection- Locked PLL with Adaptive Injection Timing Alignment Technique

MULTI-BIT DELTA-SIGMA MODULATION TECHNIQUE FOR FRACTIONAL-N FREQUENCY SYNTHESIZERS WOOGEUN RHEE

2008/09 Advances in the mixed signal IC design group

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications

6.976 High Speed Communication Circuits and Systems Lecture 11 Voltage Controlled Oscillators

Radio-Frequency Conversion and Synthesis (for a 115mW GPS Receiver)

Introduction to CMOS RF Integrated Circuits Design

An All CMOS, 2.4 GHz, Fully Adaptive, Scalable, Frequency Hopped Transceiver

20 GHz Low Power QVCO and De-skew Techniques in 0.13µm Digital CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies

A High Dynamic Range Digitally- Controlled Oscillator (DCO) for All-DPLL systems is. Samira Jafarzade 1, Abumoslem Jannesari 2

A Compact, Low-Power Low- Jitter Digital PLL. Amr Fahim Qualcomm, Inc.

A 1.9GHz Single-Chip CMOS PHS Cellphone

A COMPACT, AGILE, LOW-PHASE-NOISE FREQUENCY SOURCE WITH AM, FM AND PULSE MODULATION CAPABILITIES

Behavior Model of Noise Phase in a Phase Locked Loop Employing Sigma Delta Modulator

A Modular All Digital PLL Architecture Enabling Both 1-to-2 GHz and 24-to 32-GHz Operation in 65nm CMOS

2011/12 Cellular IC design RF, Analog, Mixed-Mode

Receiver Architecture

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 4, APRIL

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Cost Effective Spread Spectrum Clock Generator Design Chulwoo Kim, Minyoung Song, Sewook Hwang

Section 1. Fundamentals of DDS Technology

THE serial advanced technology attachment (SATA) is becoming

Summary Last Lecture

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

AN3: Application Note

European Conference on Nanoelectronics and Embedded Systems for Electric Mobility

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

Low Phase Noise CMOS Ring Oscillator VCOs for Frequency Synthesis

The Case for Oversampling

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

Package and Pin Assignment SSOP-6 (0.64mm pitch) OSCIN OSCOUT TXEN 3 VSS 4 TXOUT 5 VSS 6 7 MODIN 8 HiMARK SW DO RES RESB VREFP VSS Symbol

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique

6.976 High Speed Communication Circuits and Systems Lecture 16 Noise in Integer-N Frequency Synthesizers

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters

<180 fs RMS Jitter 24-bit Step Size, Resolution 3 Hz typ Exact Frequency Mode Built in Digital Self Test 40 Lead 6x6 mm SMT Package: 36 mm 2

Fabricate a 2.4-GHz fractional-n synthesizer

NEW WIRELESS applications are emerging where

24-bit Step Size, Resolution 3 Hz typ Exact Frequency Mode Built-in Digital Self Test 40 Lead 6x6 mm SMT Package: 36 mm 2. Phased Array Applications

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

Summary Last Lecture

Low Power Phase Locked Loop Design with Minimum Jitter

Transcription:

High Performance Digital Fractional-N Frequency Synthesizers Michael Perrott October 16, 2008 Copyright 2008 by Michael H. Perrott All rights reserved.

Why Are Digital Phase-Locked Loops Interesting? PLLs are needed for a wide range of applications - Communication systems (both wireless and wireline) - Digital processors (to achieve GHz clocks) Performance is important - Phase noise can limit wireless transceiver performance - Jitter can be a problem for digital processors The standard analog PLL implementation is problematic in many applications - Analog building blocks on a mostly digital chip pose design and verification challenges - The cost of implementation is becoming too high Can digital phase-locked loops offer excellent performance with a lower cost of implementation? 2

Just Enough PLL Background

What is a Phase-Locked Loop (PLL)? ref(t) ref(t) out(t) out(t) e(t) v(t) e(t) v(t) ref(t) Phase Detect e(t) Analog v(t) out(t) Loop Filter VCO de Bellescize Onde Electr, 1932 VCO efficiently provides oscillating waveform with variable frequency PLL synchronizes VCO frequency to input reference frequency through feedback - Key block is phase detector Realized as digital gates that create pulsed signals 4

Integer-N Frequency Synthesizers ref(t) div(t) e(t) v(t) F out = N F ref ref(t) div(t) Phase Detect e(t) Analog v(t) out(t) Loop Filter Divider VCO Sepe and Johnston US Patent (1968) Use digital counter structure to divide VCO frequency - Constraint: must divide by integer values Use PLL to synchronize reference and divider id output t Output frequency is digitally controlled N 5

Fractional-N Frequency Synthesizers ref(t) div(t) e(t) v(t) Kingsford-Smith US Patent (1974) Wells US Patent (1984) F out = M.F F ref ref(t) div(t) N sd [k] sd[ ] Phase Detect e(t) Analog v(t) out(t) Loop Filter Σ Δ Modulator Divider N[k] VCO Riley US Patent (1989) JSSC 93 M.F Dither divide value to achieve fractional divide values - PLL loop filter smooths the resulting variations Very high frequency resolution is achieved 6

The Issue of Quantization Noise ref(t) div(t) e(t) v(t) F out = M.F F ref ref(t) div(t) Phase Detect e(t) Analog v(t) out(t) Loop Filter Divider VCO N sd [k] Σ Δ Modulator N[k] Limits PLL bandwidth Increases linearity requirements of phase detector M.F Σ Δ Quantization Noise f 7

Striving for a Better PLL Implementation

Analog Phase Detection ref(t) () div(t) 1 reset 1 D Q D Q Reg error(t) phase error ref(t) div(t) error(t) ref(t) Phase Detect Analog Loop Filter out(t) div(t) Divider VCO Pulse width is formed according to phase difference between two signals Average of pulsed waveform is applied to VCO input 9

Tradeoffs of Analog Approach ref(t) div(t) error(t) Phase Detector Signals Average of error(t) Phase Detector Characteristic phase error ref(t) Phase Detect Analog Loop Filter out(t) div(t) Divider VCO Benefit: average of pulsed output is a continuous, linear function of phase error Issue: analog loop filter implementation is undesirable 10

Issues with Analog Loop Filter error(t) Charge Pump I cp V out C int ref(t) Phase Detect Analog Loop Filter out(t) Divider VCO Charge pump: output resistance, mismatch Filter caps: leakage current, large area 11

Going Digital ref(t) Phase Detect Analog Loop Filter out(t) Divider VCO ref(t) Time -to- Digital Digital Loop Filter Divider DCO out(t) Staszewski et. al., TCAS II, Nov 2003 Digital loop filter: compact area, insensitive to leakage Challenges: - Time-to-Digital Converter (TDC) - Digitally-Controlled Oscillator (DCO) 12

Classical Time-to-Digital Converter div(t) ref(t) Delay Delay Delay D Q D Q D Q Reg Reg Reg e[k] Delay div(t) 1 1 1 0 0 ref(t) e[k] ref(t) div(t) Time -to- Digital Digital Loop Filter Divider DCO out(t) Resolution set by a Single Delay Chain structure y g y - Phase error is measured with delays and registers Corresponds to a flash architecture 13

Impact of Limited Resolution and Delay Mismatch div(t) 1 1 1 0 0 ref(t) Delay varies due to mismatch e[k] detector output Phase Detector Characteristic phase error ref(t) div(t) Integer-N PLL Time -to- Digital Digital Loop Filter Divider DCO out(t) - Limit cycles due to limited resolution (unless high ref noise) Fractional-N PLL - Fractional spurs due to non-linearity from delay mismatch 14

Examine Noise Performance: Narrow-Bandwidth Case Assumptions: - TDC ΔT= 20ps - carrier freq. = 3.6GHz - reference freq. = 50MHz - PLL BW Total Noise = 50kHz - 3 rd order ΔΣ VCO noise dominates performance everywhere Don t need very high TDC resolution Δ Σ fractional-n quantization noise is not an issue 15

Examine Noise Performance: Wide-Bandwidth Case Assumptions: - TDC ΔT= 20ps - carrier freq. = 3.6GHz - reference freq. = 50MHz - PLL BW = 500kHz - 3 rd order ΔΣ Total Noise Noise dominated by TDC at low frequencies Noise dominated by ΔΣ fractional-n noise at high frequencies 16

To Meet High Performance Applications like GSM. Assumptions: - TDC ΔT = 6ps - carrier freq. = 3.6GHz - reference freq. = 50MHz - PLL BW Total Noise = 500kHz - 3 rd order ΔΣ (20dB lower) Need 6-ps TDC resolution and 20dB cancellation of Δ Σ fractional-n noise to achieve 500kHz bandwidth 17

Can We Improve the Effective Resolution of Time-to-Digital Conversion? 18

Proposed Approach: A Better Time-to-Digital Converter ref(t) Delay Delay Delay out(t) D Q Reg D Q Reg ref(t) () D Q Reg out(t) error[k] ref(t) out(t) S Q R Gated Ring Oscillator enable(t) Straayer, Perrott Logic US Patent in progress ref(t) out(t) enable(t) Oscillator Waveform error[k] This is a simplified view - We will need a few slides to properly explain this 19

Consider Measurement of the Period of a Signal x[0] x[1] x[2] x[3] Ring Oscillator V dd Input Oscillator Reset Counter Count Count Input Register Out Out 3 3 4 3 Use digital logic to count number of oscillator cycles during each input period - Assume that oscillator period is much smaller than that of the input Note: output count per period is not consistent - Depends on starting phase of oscillator within a given measurement period 20

Examine Quantization Error in Measurements x[0] x[1] x[2] x[3] Ring Oscillator V dd Input Oscillator Reset Counter Count Count Input Register Out Out 3 3 4 3 Quantization error varies according to starting phase of the oscillator within a given measurement period - Leads to scrambling of the quantization noise But there is something rather special about the scrambling action 21

A Closer Examination of Quantization Noise x[0] x[1] x[2] x[3] Ring Oscillator V dd Input Oscillator Count Reset Counter q[0] q[1] q[2] q[3] Count Error Input Register -q[0] -q[1] -q[2] -q[3] Out Out 3 3 4 3 Calculate impact of quantization noise in time: Take Z-transform: Quantization noise is first order noise shaped! 22

Relating to Phase Error Between Two Signals x[0] x[1] x[2] x[3] Ring Oscillator V dd Input Oscillator Input Reset Count Counter Count Error q[0] q[1] q[2] q[3] Register -q[0] -q[1] -q[2] -q[3] Out Out 3 3 4 3 Ref PLL Out Time -to- Digital Ref PLL Out Phase Error[0] Gap Phase Error[1] Measurement of phase error between two signals requires gaps between measurements - What is the implication of such gaps? Gap 23

The Impact of Non-Consecutive Measurements x[0] x[2] Ring Oscillator V dd Input Oscillator Input Reset Count Counter Count Error q[0] q[2] Register -q[1] Out Out 3 4 -q[3] Consider measuring input period every other cycle - Analogous to phase measurement between two signals Key observation: - Quantization noise is no longer first order noise shaped! Is there a way to restore noise shaping? 24

Proposed GRO TDC Structure

A Gated Ring Oscillator (GRO) TDC Ring Oscillator Enable div(t) ref(t) Osc(t) Phase Error[1] Phase Error[2] ref(t) div(t) Reset Logic Counter Register Count[k] e[k] Count[k] Quant. q[1] q[2] Error[k] -q[0] -q[1] e[k] 3 4 Enable ring oscillator only during measurement intervals - Hold the state of the oscillator between measurements Quantization error becomes first order noise shaped! - e[k] = Phase Error[k] + q[k] q[k-1] - Averaging dramatically improves resolution! 26

Simple gated ring oscillator inverter-based core Enabled Ring Oscillator Disabled Ring Oscillator (a) Gate the oscillator by switching the inverter cores to the power supply (b) Enable Delay Element Enable Vo n-1 Vo M 3 n Vo5 Vo i-1 Vo i M 4 Vo 4 Vo 1 M 2 Vo 3 Vo 2 Enable M1

Improve Resolution By Using All Oscillator Phases Phase Error[1] Phase Error[2] Ring Oscillator Enable div(t) ref(t) ref(t) Reset Counters Osc. Phases(t) Logic div(t) Register Count[k] e[k] Helal, Straayer, Wei, Perrott VLSI 2007 Count[k] Quant. Error[k] q[1] -q[0] -q[1] e[k] 11 10 Raw resolution is set by inverter delay Effective resolution is dramatically improved by averaging 28 q[2]

GRO TDC Also Shapes Delay Mismatch Measurement 1 Enable Measurement 2 Enable Measurement 3 Enable Measurement 4 Enable Barrel shifting occurs through delay elements across different measurements - Mismatch between delay elements is first order shaped! 29

First Generation GRO Prototype Variable Delay 15 Stage Gated Ring Oscillator S Q R enable(t) enable enable e Logic error[k] GRO implemented as a custom 013 0.13u CMOSIC External setup consists of signal source and variable delay - Test issue: variable delay is nonlinear 30

Measured GRO Results Confirm Noise Shaping Variable Delay 15 Stage Gated Ring Oscillator S Q R enable(t) enable enable 40 30 20 Input variable delay signal Harmonics due to nonlinearity of variable delay Logic error[k] Amplitude (db) 10 0-10 -20 Noise shaped quant. noise -30 0.01 0.1 1 10 100 Frequency (MHz) 31

Next Generation GRO: Multi-path oscillator concept Single Input Single Output Multiple Inputs Single Output Use multiple inputs for each delay element instead of one Allow each stage to optimally begin its transition based on information from the entire GRO phase state t Key design issue is to ensure primary mode of oscillation 32

Multi-path inverter core Lee, Kim, Lee JSSC 1997 Mohan, et. al., CICC 2005

Proposed Multi-Path Gated Ring Oscillator TDC Hsu, Straayer, Perrott ISSCC 2008 Oscillation frequency near 2GHz with 47 stages Reduces effective delay yper stage by a factor of 5-6! Represents a factor of 2-3 improvement compared to previous multi-path oscillators 34

Prototype 0.13μm CMOS Multi-Path GRO-TDC Start Stop Timing Generation Enable 47-stage Gated Ring Oscillator Start Stop Enable CLK Z 1-47 State Register 1 2 3 4 5 6 7 Measurement Cells CLK Adder Out Two implemented versions: - 8-bit, 500Msps Straayer, Perrott VLSI 2008-11-bit, 100Msps version 2-21mW power consumption depending on input duty cycle

Measured noise-shaping of multi-path GRO Power Spec ctral Density (db ps 2 /Hz) -40-50 -60-70 -80-90 65,536 pt. FFT (Hanning window + 20x averaging) Input of 1.2ps pp Noise of 80fs rms in 1MHz BW Ideal variance of 50-Msps quantizer with 1ps steps Filtered TD DC Output 279.2 279.0 278.8-100 278.6 10 4 10 5 10 6 10 7 Frequency (Hz) (a) TDC Output after 1MHz LPF 1.2ps 0 40 80 120 160 200 Time (µs) Data collected at 50Msps More than 20dB of noise-shaping benefit 80fs rms integrated error from 2kHz-1MHz Floor primarily limited by 1/f noise (up to 0.5-1MHz) (b) 36

Can We Reduce Sigma-Delta Quantization Noise Caused by Divider Dithering? 37

The Nature of the Quantization Noise Problem Ref PFD Loop Filter Out Div N/N+1 Frequency M-bit Selection ΔΣ Modulator 1-bit Frequency Selection Quantization Noise Spectrum Output Spectrum Noise F out ΔΣ PLL dynamics Increasing PLL bandwidth increases impact of ΔΣ fractional-n noise - Cancellation offers a way out! 38

Previous Analog Quantization Noise Cancellation Phase error due to ΔΣ is predicted by accumulating ΔΣ quantization error Gain matching between PFD and D/A must be precise Matching in analog domain limits performance 39

Proposed All-digital Quantization Noise Cancellation Hsu, Straayer, Perrott ISSCC 2008 Scale factor determined by simple digital correlation Analog non-idealities such as DC offset are completely eliminated 40

Details of Proposed Quantization Noise Cancellation Correlator out is accumulated and filtered to achieve scale factor - Settling time chosen to be around 10 us See analog version of this technique in Swaminathan et.al., ISSCC 2007 41

Proposed Digital Wide BW Synthesizer Gated-ring-oscillator (GRO) TDC achieves low in-band noise All-digital quantization noise cancellation achieves low out-of-band noise Design goals: - 3.6-GHz carrier, 500-kHz bandwidth - <-100dBc/Hz in-band, <-150 dbc/hz at 20 MHz offset 42

Overall Synthesizer Architecture Note: Detailed behavioral simulation model available at http://www.cppsim.com 43

Dual-Port LC VCO Frequency tuning: - Use a small 1X varactor to minimize noise sensitivity - Use another 16X varactor to provide moderate range - Use a four-bit capacitor array to achieve 3.3-4.1 GHz range 44

Digitally-Controlled Oscillator with Passive DAC Goals of 10-bit DAC - Monotonic 1X varactor minimizes noise sensitivity 16X varactor provides moderate range Af four-bit capacitor array covers 3.3-4.1GHz - Minimal active circuitry and no transistor bias currents - Full-supply output range 45

Operation of 10-bit Passive DAC (Step 1) 5-bit resistor ladder; 5-bit switch-capacitor array Step 1: Capacitors Charged - Resistor ladder forms V L = M/32 V DD and V H = (M+1)/32 V DD, where M ranges from 0 to 31 where M ranges from 0 to 31 - N unit capacitors charged to V H, and (32-N) unit capacitors charged to V L 46

Operation of 10-bit Passive DAC (Step 2) Step 2: Disconnect Capacitors from Resistors, Then Connect Together - Achieves DAC output with first-order filtering - Bandwidth = 32 C u /(2π C load ) 50MHz Determined by capacitor ratio Easily changed by using different C load 47

Now Let s Examine Divider Issues: - GRO range must span entire reference period during initial lock-in 48

Proposed Divider Structure Divide value =N 0 +N 1 +N 2 +N 3 Resample reference with 4x division frequency - Lowers GRO range to one fourth of the reference period 49

Proposed Divider Structure (cont d) Place ΔΣ dithered edge away from GRO edge - Prevents extra jitter due to divide-value dependent delay 50

Dual-Path Loop Filter Step 1: reset Step 2: frequency acquisition - V c (t) varies - V f (t) is held at midpoint Step 3: steady-state lock conditions - V c (t) is frozen to take quantization noise away - ΔΣ quantization noise cancellation is enabled 51

Fine-Path Loop Filter Equivalent to an analog lead-lag filter - Set zero (62.5kHz) and first pole (1.1MHz) digitally - Set second pole (3.1MHz) by capacitor ratio First-order ΔΣ reduces in-band quantization noise 52

Linearized Model of PLL Under Fine-Tune Operation Gain K 2 first-order IIR 1-α 1-αz -1 Accumulator 1 1z 1-z -1 Gain K 1 Φ ref [k] Φ div [k] T 2π TDC Gain 1 Δt del e[k] Loop Filter H(z) z=e j2πft divider 1 N nom ΔΣ 1 DAC Gain V 2 B DT-CT T CT-DT VCO 2πK v s s=j2πf Φ out (t) Standard d lead-lag ag filter topology ogy but implemented e in digital domain - Consists of accumulator plus feedforward path 1 T 53

Same Technique Poses Problems for Coarse-Tune DAC thermal noise impacts performance due to the higher coarse VCO gain - Can we somehow lower the DAC bandwidth? 54

Fix: Leverage the Divider as a Signal Path Bypass to divider for feed- forward path allows coarse DAC bandwidth to be dramatically reduced! 55

Linearized Model of PLL Under Coarse-Tune Operation Φ ref [k] Φ div [k] TDC first-order DAC Gain IIR Accum. Gain DT-CT VCO T 1 e[k] 1-α 1 1 V 2πK vc 4 T 2π Δt del 1-αz -1 1-z -1 64 2 B s K -1 c 2π z 1-z -1 Divider CT-DT 1 T s=j2πf Φ out (t) 1 N nom Routing of signal path into Sigma-Delta controlling the divider yields a feedforward path - Adds to accumulator path as both signals pass back through the divider - Allows reduction of coarse DAC bandwidth Noise impact of coarse DAC on VCO is substantially lowered 56

Die Photo 0.13-μm CMOS Active area: 0.95 mm 2 Chip area: 1.96 mm 2 V DD : 1.5V Current: - 26mA (Core) - 7mA (VCO output buffer at 1.1V) GRO-TDC: - 2.3mA - 157X252 um 2 57

Power Distribution of Prototype IC Divider DAC 1.4mW (3%) 2.8mW (6%) 3.0mW (7%) 3.4mW (7%) 21.0mW VCO (46%) 6.8mW (15%) 7.7mW (17%) Ref. Buffer GRO-TDC Digital VCO Pad Buffer Total Power: 46.1mW Notice GRO and digital quantization noise cancellation have only minor impact on power (and area) 58

Measured Phase Noise at 3.67GHz Suppresses quantization noise by more than 15 db Achieves 204 fs (0.27 degree) integrated noise (jitter) Reference spur: -65dBc 59

Calculation of Phase Noise Components dbc/hz 40 60 80 100 120 VCO Noise Finepath ΣΔ Quantization Noise Fine tune DAC Thermal Coarse tune DAC Thermal Divider Noise (1% left) GRO Noise Ref Noise Close loop Noise 140 160 180 10 3 10 4 10 5 10 6 10 7 f offset See wideband digital synthesizer tutorial available at http://www.cppsim.com 60

Measured Worst Spurs over Fifty Channels -50 Integer boundary -55 (50MHz 73) (dbc) -60 Spur -65-70 16.2us -75 3.62 3.63 3.64 3.65 3.66 3.67 frequency (GHz) Tested from 3.620 GHz to 3.670 GHz at intervals of 1 MHz - Worst spurs observed close to integer-n boundary (multiples of 50 MHz) -42dBc worst spur observed at 400kHz offset from boundary 61

Conclusions Digital Phase-Locked Loops look extremely promising for future applications - Very amenable to future CMOS processes - Excellent performance can be achieved A low-noise, wide-bandwidth digital ΔΣ fractional-n frequency synthesizer is achieved with - High performance noise-shapingshaping GRO TDC - Quantization noise cancellation in digital domain Key result: < 250 fs integrated noise with 500 khz bandwidth Innovation of future digital PLLs will involve joint circuit/algorithm development 62