Competitive in Mainstream Products

Similar documents
TWINSCAN XT:1950i Water-based immersion taken to the max Enabling fast, single-exposure lithography at sub 40 nm

DUV. Matthew McLaren Vice President Program Management, DUV. 24 November 2014

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

ASML Market dynamics. Dave Chavoustie EVP Sales Analyst Day, September 30, 2004

MAPPER: High throughput Maskless Lithography

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Public. Introduction to ASML. Ron Kool. SVP Corporate Strategy and Marketing. March-2015 Veldhoven

Imaging for the next decade

EUVL Scanners Operational at Chipmakers. Skip Miller Semicon West 2011

EUV Supporting Moore s Law

Intel. Moving immersion into production. Intel and ASML. System flexibility through enhancement packages. Special Edition 2006

Doug Dunn ASML President and Chief Executive Officer Deutsche Bank Conference London, England September 19, / Slide 1

EUVL getting ready for volume introduction

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

A Closer Look at ASML. September 26-27, 2002

Enabling Semiconductor Innovation and Growth

Spring of EUVL: SPIE 2012 AL EUVL Conference Review

Acknowledgements. o Stephen Tobin. o Jason Malik. o Dr. Dragan Djurdjanovic. o Samsung Austin Semiconductor, Machine Learning

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

Status and challenges of EUV Lithography

The SEMATECH Model: Potential Applications to PV

Beyond Immersion Patterning Enablers for the Next Decade

Demonstrating Commitment in the New Veldhoven Demo Lab

Intel Technology Journal

EUV: Status and Challenges Ahead International Workshop on EUVL, Maui 2010

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

4Q02 Update: Semiconductor Capacity Still on Hold

Optics for EUV Lithography

Optical Microlithography XXVIII

EUV lithography: status, future requirements and challenges

HOW TO CONTINUE COST SCALING. Hans Lebon

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

2008 European EUVL. EUV activities the EUVL shop future plans. Rob Hartman

Lithography Industry Collaborations

Mask Technology Development in Extreme-Ultraviolet Lithography

Facing Moore s Law with Model-Driven R&D

R&D Status and Key Technical and Implementation Challenges for EUV HVM

Leadership Through Innovation Litho for the future

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

Mask magnification at the 45-nm node and beyond

It s Time for 300mm Prime

Light Source Technology Advances to Support Process Stability and Performance Predictability for ArF Immersion Double Patterning

Manufacturing Case Studies: Copy Exactly (CE!) and the two-year cycle at Intel

Metrology in the context of holistic Lithography

ISMI Industry Productivity Driver

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

EUV lithography: today and tomorrow

Pattern Transfer CD-AFM. Resist Features on Poly. Poly Features on Oxide. Quate Group, Stanford University

Optical Maskless Lithography - OML

(Complementary E-Beam Lithography)

Outline. Introduction on IMEC & IMEC cooperation model. Program Challenges in CMOS scaling

HYDROLITH IMMERSION TECHNOLOGY ON THE WORLD S LEADING LITHOGRAPHY PLATFORM

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Photolithography I ( Part 1 )

Credit Suisse European Technology Conference 2008

Towards an affordable Cost of Ownership for EUVL. Melissa Shell Principal Engineer & Program Manager, EUVL Research Components Research October 2006

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

The Waferstepper Challenge: Innovation and Reliability despite Complexity

IMEC update. A.M. Goethals. IMEC, Leuven, Belgium

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Advanced Patterning Techniques for 22nm HP and beyond

22nm node imaging and beyond: a comparison of EUV and ArFi double patterning

Shooting for the 22nm Lithography Goal with the. Coat/Develop Track. SOKUDO Lithography Breakfast Forum 2010 July 14 (L1)

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Discovering Electrical & Computer Engineering. Carmen S. Menoni Professor Week 3 armain.

DSA and 193 immersion lithography

21 st Annual Needham Growth Conference

Double Patterning Combined with Shrink Technique to Extend ArF Lithography for Contact Holes to 22nm Node and Beyond

GIGAPHOTON INTRODUCTION

Advanced Packaging Solutions

Nikon Medium Term Management Plan

TSMC Property. EUV Lithography. The March toward HVM. Anthony Yen. 9 September TSMC, Ltd

The future of lithography and its impact on design

Used Semiconductor Manufacturing Equipment: Looking for Sales in All the Right Places. Study Number MA108-09

Limitations and Challenges to Meet Moore's Law

G450C. Global 450mm Consortium at CNSE. Michael Liehr, General Manager G450C, Vice President for Research

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Technology Transfers Opportunities, Process and Risk Mitigation. Radhika Srinivasan, Ph.D. IBM

Update on 193nm immersion exposure tool

Lithography. International SEMATECH: A Focus on the Photomask Industry

Manufacturing and Operational Excellence

Tin LDP Source Collector Module (SoCoMo) ready for integration into Beta scanner ABSTRACT Keywords : 1. INTRODUCTION

W ith development risk fully borne by the equipment industry and a two-year delay in the main

Litho Metrology. Program

New Color Alignment for CMOS Image Sensor

Application-Based Opportunities for Reused Fab Lines

The Development of the Semiconductor CVD and ALD Requirement

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

AGENDA MARCH 2019 PENANG, MALAYSIA. for sponsorship opportunities. Contact. BOOK NOW! celltech.solarenergyevents.

Lithography on the Edge

AN ANALYSIS: TRADITIONAL SEMICONDUCTOR LITHOGRAPHY VERSUS EMERGING TECHNOLOGY (NANO IMPRINT) Robert L. Wright Kranthi Mitra Adusumilli

Market and technology trends in advanced packaging

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Progress due to: Feature size reduction - 0.7X/3 years (Moore s Law). Increasing chip size - 16% per year. Creativity in implementing functions.

Transcription:

Competitive in Mainstream Products Bert Koek VP, Business Unit manager 300mm Fabs Analyst Day 20 September 2005

ASML Competitive in mainstream products Introduction Market share Device layers critical and non critical Product strategy: Critical layer applications High Value of Ownership Product examples TWINSCAN, XT:850, XT:1400 Positioning for the non and mid critical segment Products XT:400, XT:760 Productivity, Availability / Slide 2

TWINSCAN : Regional share of installed base - over 300 systems within 4 years Europe USA Asia Mature platform for competitive product portfolio Source: ASML / Slide 3

TWINSCAN : Unit share of i-line, and in TWINSCAN installed base i-line Mature platform for competitive product portfolio Source: ASML / Slide 4

TWINSCAN : #1 in all regions ASML 300mm market share per region in ($) Europe USA Asia Mature platform for competitive product portfolio Source: Dataquest, ASML / Slide 5

TWINSCAN : #1 in and ASML 300mm Worldwide market share ($) i-line Mature platform for competitive product portfolio Source: ASML / Slide 6

Semiconductor device built up from large number individual layers Layer 130 nm 90 nm Isolation Resolution and Overlay critical layers Drive performance of the device (speed) Drive the size of the chip (cost) Advanced imaging systems on the roadmap to address this application XT:1400 Immersion XT:1700i Well Well Deep Well N-VT Adjust P-VT Adjust Poly Gate LDD Poly Spacer LDD LDD S/D S/D S/D Contact Metal 1 Via 1 Metal 2 Via 2 Metal 3 Via 3 Metal 4 Via 4 Metal 5 Via 5 Metal 6 Via 6 Metal X Via X Passivation PAD / Slide 7 Critical I-line Non critical

With each node, the number of layers is increasing mainly due to increased non and mid critical layers Number of critical layers remain constant over various nodes Poly, Contact, 1st metal, active area Number of non critical layers increase Interconnect and Via s Technology for non critical layers gradually moves towards shorter wavelengths 2004-2005: introduction of for non critical layers 2007-2008: introduction of for non critical layers Logic 35 Memory Number of layers 45 40 35 30 25 20 15 10 5 0 130nm 110nm 90nm 65nm 45 Technology node Critical Critical Krf I-line Number of layers 30 25 20 15 10 5 0 130 110 90 65 45 Technology node Critical Critical Krf I-line / Slide 8

Market share and product strategy ASML product strategy resulted in number 1 position in and Advanced technology development will continue to support ASML s strong position for critical layers application Growing number non and mid critical layers requires a clear strategy to further grow i-line and market share Non and mid critical layer application have different user requirements / Slide 9

EUV 13.5nm 193nm 248nm ASML 300mm product roadmap WET DRY 32 nm 45 nm 40 nm 45 nm 65 nm 70 nm 65 nm 80-70 nm 120-100 nm 180-130 nm 8X0 7X0 Decision on pilot production EUV 1250i NA=0.85 1250 NA=0.85 860 1400i NA=0.93 1400 NA=0.93 760 Alpha Demo Decision on index immersion fluids/double patterning on 193 NA 1700i NA=1.2 NA>1.3X EUV 32 nm >1.5 NA or 2x pattern i-line 365 nm 280 nm 4X0 450 2004 2005 2006 2007 2008 2009 / Slide 10

ASML 300mm dry system roadmap EUV 13.5nm WET 32 nm 45 nm 32 nm 40 nm 193nm 45 nm 65 nm 248nm DRY 70 nm 65 nm 80-70 nm 120-100 nm 180-130 nm 1400 NA=0.93 1250 NA=0.85 8X0 860 7X0 760 i-line 365 nm 280 nm 4X0 450 2004 2005 2006 2007 2008 2009 / Slide 11

TWINSCAN XT:1400 and XT:850 superior performance in CRITICAL applications Large installed base of advanced 0.8NA systems >250 systems in 200 and 300mm applications product roadmap based on mature PAS 5500 and TWINSCAN product portfolio Evolutionary >140 systems in 200mm and 300mm applications Maximum extendibility based on advanced TWINSCAN developments Low K1 applications Overlay improvements Productivity improvements / Slide 12

Twinscan High volume manufacturing in 300mm Installed base >110 systems ~15 ~60 ~35 XT:1400, 0.93NA Installed base: >25 / Slide 13 XT:1400 Resolution: 100nm Overlay: 8nm Throughput: 122wph Superior Performance in 300mm

TWINSCAN XT:1400E extendibility Highest NA litho tool for volume production Customer shipments started Q1 05 2005 2006 Q4 Q1 Q2 Q4 Q2 Q3 XT:1400 Extendibility Dry Wet Exposure Latitude (%) 25 20 15 10 5 Un polarized Polarized Factor 2 in Depth of Focus 0 0.0 0.1 0.2 0.3 0.4 Depth of Focus [µm] 15% in exposure latitude / Slide 14

AT/XT:850 Highest productivity, critical layer, system Installed base >150 systems ~5 ~130 ~20 / Slide 15 XT:850F Resolution: 100nm Overlay: 12nm Throughput: 134wph Superior Performance in 300mm

I-line and requirements will change with advanced technology nodes: non critical Resolution of backend metal, Via s and implant layers will force the transition from I-line to Critical layers will go to Number of I-line systems will reduce Number of layers will remain or grow, but application will be different. 400 World market 300mm (Units) 300 200 100 Potential non critical Critical I-line Source: ASML / Slide 16 0 2006 2007 2008 2009 2010

Creating value in NON-CRITICAL markets Means: Not just continuing available technology Instead: Focusing on additional requirements Focus on customer application Remove redundancy to save cost Maximum Wafers per Day for best Return on Investment Superior Availability to fully utilize high productivity Lowest Cost of Operation Preparing for mid critical applications / Slide 17

TWINSCAN XT:400 and XT:760 creating value in non and mid critical applications XT:400E Resolution: 350nm Overlay: 35nm 25nm Throughput: 129wph Lowest CoO in 300mm I-line XT:760F Resolution: 130nm Overlay: 15nm 12nm Throughput: 134wph Superior CoO in 300mm / Slide 18

Product overlay remains critical parameter, even in mid critical applications Control Limit Spec Limit Overlay Sample Mid critical tools based on advanced TWINSCAN technology guarantee maximum Overlay extendibility Rework Yield drop SMO MMO SMO: Single Machine Overlay MMO: Matched Machine Overlay / Slide 19 Overlay Error

Focus on cost: Capex for new investments ASML product offering for non and mid critical applications ASML introduced new products with superior competitive position Existing non critical I-line market Developing non and mid critical market XT:400 and XT:760 address non and mid critical layer applications Double digit improvement in Cost of Ownership Cost of Ownership 1.4 1.2 1 0.8 0.6 0.4 0.2 0 AT:400D +35% +60% XT:400 Cost of Ownership 1.75 1.5 1.25 1 0.75 0.5 0.25 0 XT:760F AT:850D / Slide 20

Maximize wafer output Fast Ramp-Up and Wafer per Day Productivity main driver to reduce Cost of Ownership Current state of the art productivity is: Immediately available for production, no start-up engineering Exposing average 2500 (300mm) wafers per day in real production Close cooperation with track vendors and customers is necessary to achieve and maintain this level Industry will go to 3500 (300mm) wafers per day by 2008 300mm wafers per day 2500 2000 1500 1000 500 0 Wafers per day Utilization 1 2 3 4 5 weeks after release 90 80 70 60 50 40 30 20 10 0 Utilization 300mm wafers per day 4000 3500 3000 2500 2000 1500 1000 500 0 I-line Target / Slide 21

High productivity result in maximum fab capacity Increasing number of non and mid critical layers require large production capacity for these applications High productivity tools optimizes clean room utilization Lower number of tools for same wafer fab output Higher wafer output for same clean room space ASML Medium productivity Phase 1 Phase 2 Phase 3 More Room for Additional Capacity Expansion / Slide 22

High productivity requires high availability Availability has to increase with tool productivity Scheduled and Unscheduled down time has to be reduced Engineering time and tool set-up reduced to minimum Unscheduled down time reduction: Reliability, Mean Time To Repair Advanced diagnostics, remote access of experts Scheduled down time reduction Predictive maintenance in stead of Preventive maintenance Engineering time reduction: Ease of Use, Stability / Slide 23 Productive time 100% 95% 90% 85% 80% 75% Scheduled down Unscheduled down Availability 100 125 150 175 Throughput (wafers/hour)

Conclusion ASML is world leader in 300mm high volume manufacturing ASML has aggressive roadmap with robust technology to maintain leading position in critical layer lithography ASML has competitive products and technology roadmaps to continue to grow in non and mid critical layer applications ASML will continue to drive wafer fab productivity to continuously improve our customer s competitiveness / Slide 24

Commitment