Managed Variability Present and Future of Design-Process Integration from 32nm to 22nm and beyond

Similar documents
28nm and below: New Frontiers and Innovations in Design for Manufacturing. Vito Dai, Ph.D. Sr. Member of Technical Staff, DFM

Optical Microlithography XXVIII

16nm with 193nm Immersion Lithography and Double Exposure

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Nanometer Technologies: Where Design and Manufacturing Converge. Walden C. Rhines CHAIRMAN & CEO

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

Feature-level Compensation & Control. Workshop September 13, 2006 A UC Discovery Project

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

Bridging the Gap between Dreams and Nano-Scale Reality

OPC Rectification of Random Space Patterns in 193nm Lithography

DATASHEET CADENCE QRC EXTRACTION

Manufacturing Characterization for DFM

Multiple Patterning for Immersion Extension and EUV Insertion. Chris Bencher Distinguished Member of Technical Staff Applied Materials CTO group

Optolith 2D Lithography Simulator

Reducing Proximity Effects in Optical Lithography

Imec pushes the limits of EUV lithography single exposure for future logic and memory

Managing Within Budget

UV Nanoimprint Stepper Technology: Status and Roadmap. S.V. Sreenivasan Sematech Litho Forum May 14 th, 2008

Holistic View of Lithography for Double Patterning. Skip Miller ASML

Correcting Image Placement Errors Using Registration Control (RegC ) Technology In The Photomask Periphery

(Complementary E-Beam Lithography)

Process Optimization

Closed Loop Registration Control (RegC ) Using PROVE as the Data Source for the RegC Process

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Optical Lithography. Here Is Why. Burn J. Lin SPIE PRESS. Bellingham, Washington USA

Computational Lithography

Immersion Lithography: New Opportunities for Semiconductor Manufacturing

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION LITHOGRAPHY FOR

450mm silicon wafers specification challenges. Mike Goldstein Intel Corp.

Decomposition difficulty analysis for double patterning and. the impact on photomask manufacturability

Lithography Simulation Tools Needed for 22nm HP and Beyond. Chris Mack

Optimizing FinFET Structures with Design-based Metrology

Layout and technology

Challenges of EUV masks and preliminary evaluation

Evaluation of Technology Options by Lithography Simulation

ASML, Brion and Computational Lithography. Neal Callan 15 October 2008, Veldhoven

Sub-12nm Optical Lithography with 4x Pitch Division and SMO-Lite

Shot noise and process window study for printing small contacts using EUVL. Sang Hun Lee John Bjorkohlm Robert Bristol

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Flare compensation in EUV lithography

Local Fix Based Litho- Compliance Layout Modification in Router. Date: Nov. 5, 2007 Advisor: Prof. Chen Sao-Jie

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Computational Lithography Requirements & Challenges for Mask Making. Naoya Hayashi, Dai Nippon Printing Co., Ltd

Modeling and CAD Challenges for DFY. Patrick G. Drennan Freescale Semiconductor Tempe, AZ, USA

Process and Environmental Variation Impacts on ASIC Timing

INTERNATIONAL TECHNOLOGY ROADMAP LITHOGRAPHY FOR SEMICONDUCTORS 2009 EDITION

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Lithography. International SEMATECH: A Focus on the Photomask Industry

Statistical Static Timing Analysis Technology

Changing the Approach to High Mask Costs

TECHNOLOGY ROADMAP 2006 UPDATE LITHOGRAPHY FOR

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

FLCC Synergistic Design- For-Manufacturing (DFM) Research

What s So Hard About Lithography?

In-line focus monitoring and fast determination of best focus using scatterometry

Post-OPC verification using a full-chip Pattern-Based simulation verification method

FinFET vs. FD-SOI Key Advantages & Disadvantages

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Holistic Lithography. Christophe Fouquet. Executive Vice President, Applications. 24 November 2014

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints

EE 434 Lecture 2. Basic Concepts

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Variation-Aware Design for Nanometer Generation LSI

Light Sources for EUV Mask Metrology. Heiko Feldmann, Ulrich Müller

Mutually Optimizing Resolution Enhancement Techniques: Illumination, APSM, Assist Feature OPC, and Gray Bars

immersion optics Immersion Lithography with ASML HydroLith TWINSCAN System Modifications for Immersion Lithography by Bob Streefkerk

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

Effects of grid-placed contacts on circuit performance

Improving registration metrology by correlation methods based on alias-free image simulation

Effect of Reticle CD Uniformity on Wafer CD Uniformity in the Presence of Scattering Bar Optical Proximity Correction

Lithography on the Edge

The future of lithography and its impact on design

PUSHING LITHOGRAPHY TO ENABLE ULTIMATE NANO-ELECTRONICS. LUC VAN DEN HOVE President & CEO imec

Comparative Study of Binary Intensity Mask and Attenuated Phase Shift Mask using Hyper-NA Immersion Lithography for Sub-45nm Era

Mask Technology Development in Extreme-Ultraviolet Lithography

Design Rules for Silicon Photonics Prototyping

A Study of Wafer Plane Analysis with Mask MVM-SEM using 2D and 3D Images

Update on 193nm immersion exposure tool

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Analysis of Focus Errors in Lithography using Phase-Shift Monitors

Outline. Layout and technology. CMOS technology Design rules Analog layout Mismatch INF4420. Jørgen Andreas Michaelsen Spring / 80 2 / 80

Progress in full field EUV lithography program at IMEC

CS 6135 VLSI Physical Design Automation Fall 2003

Copyright 2000, Society of Photo-Optical Instrumentation Engineers This paper was published in Optical Microlithography XIII, Volume 4000 and is made

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

Market and technology trends in advanced packaging

Impact of 3-D Mask Effects on CD and Overlay over Image Field in Extreme Ultraviolet Lithography

Dialog on industry challenges and university research activities among technologists from Participating Companies, Students and Faculty

Mirror-based pattern generation for maskless lithography

Electrical Impact of Line-Edge Roughness on Sub-45nm Node Standard Cell

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Course Outcome of M.Tech (VLSI Design)

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Characterization of e-beam induced resist slimming using etched feature measurements.

2009 International Workshop on EUV Lithography

45nm Foundry CMOS with Mask-Lite Reduced Mask Costs

White Paper Stratix III Programmable Power

Transcription:

Managed Variability Present and Future of Design-Process Integration from 32nm to 22nm and beyond Luigi Capodieci, Ph.D. R&D Fellow Luigi DFM Capodieci, Ph.D. R&D Fellow Managed Variability and DFM

Outline: Variability Taxonomy of Variability Lithography Induced variability Mask, Proximity, Stepper, Resist, Wafer Design Effects, Mitigation Activities Other Process Induced Variability: Gate Dielectric, CMP, Stress, Thermal Impact of Variation: Random versus Systematic DFM: A systematic approach to managed variability Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 2

Outline: DFM A brief history of DFM Yield and Design Rules at 45, 32 and 22 nm Design For Manufacturability (DFM) Layout Printability Verification (across PW) Holistic Design Rules Selection/Optimization Towards Design Layout Regularity Advanced DFM Applications Conclusions: DFM enabling 22 nm and below Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 3

Variability Basics [I] At the limits of the geometric scaling roadmap : variability as a percentage of feature size increases: atoms don t scale kt/q doesn t scale photons don t scale Main factors: Intrinsic process variability Environmental effects Physical limits Variability affects Yield, Performance, and Power Variability can be classified as random and systematic Physical limits cause random variations (e.g. dopant fluctuations) Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 4

Variability Basics [II] Variability occurs at different length scales Across a single transistor (or wire) Transistor to transistor Across Die Across Wafer Wafer to Wafer Lot to Lot Often systematic effects are considered random only because it is difficult (or impossible) to characterize them Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 5

Lithography related variability Scanner Design Mask/OPC Wafer Resist Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 6

dev. 1400 1200 1000 800 600 400 200 0 Mask related variability Mask Process Etch and develop signatures Loading density dependent Mask Proximity Effects E-beam exposure noise Range: Stepper Field (across die), Gate-to Gate Magnitude: Total 5-10nm on mask (1.5-2nm on wafer) Linearities 30 20 10 0-10 -20-30 CD Target dense clear iso clear dense dark iso dark Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 7

OPC related variability OPC Errors, Model Accuracy, Algorithm Convergence Model Errors: Lead to systematic CD errors, e.g. through pitch OPC Convergence: Mask making limits or numerical errors lead to CD errors (quasi-random) Post OPC CD through pitch Range: Gate to Gate Systematic and random Magnitude: 2nm for restricted pitches, 5-10nm for all pitches Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 8

Wafer related variability Variation Across Scanner Field Causes: Focus Leveling, Dose Uniformity, Lens Aberrations (additional influence from mask) Range: Across Field (Across Die), Die to Die Systematic Magnitude: 1-2nm Top Right Die Shows Low CD Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 9

Resist related variability Line Edge Roughness (LER) CD Variation along Line Edge Dependent on resist type, resist processing, resist etch Range: Intra-Gate W=100nm Random Magnitude: depends on length scale, 1nm-3nm (1s) -15-10 -5 0 5 10 15 CD vs tgt (nm) W=1000nm -15-10 -5 0 5 10 15 CD vs tgt (nm) Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 10

Edge Placement Error (nm) Edge Placement Error (nm) Design related variability Layout Regularity OPC can minimize CD variation at one focus position for different layout styles Range: Gate to Gate Magnitude: 3-5nm Both systematic and random Mitigation: Uniform Layout reduces CD variation through-focus Smaller Variation of Average CD Smaller Variation of CD range Conventional Layout 0-0.1-0.15-0.2 Defocus (um) CD Variation Post-OPC (10,000 gates simulated) Restricted Design Rules 0-0.1-0.15-0.2 Defocus (nm) Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 11

CMP related variability Metal layers of modern submicron process are chemical-mechanically polished. CMP is a process that has all types of variations: Lot to lot (systematic) wafer to wafer (systematic) Within wafer (systematic) Within die (quasi-random) Except for some- within-die variations, all of these are uncorrelated from metal level to metal level Variations in thickness can be very significant, easily up to 50% variations in thickness and hence R and C However, essentially all of these variations are uncorrelated from layer to layer Moreover, R max correlates with C min, and vice versa: you never get the case of C max *R max or C min * R min R/um C/um RC/um^2 short 1.52 0.85 1.29 nom 1.00 1.00 1.00 tall 0.75 1.15 0.86 65nm fine pitch metal, arbitrary units Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 12

CMP variability mitigation: Tiling TILING Helps balancing low density regions & reducing standard deviation Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 13

Temperature related variability Variations in temperature can have significant impact on device performance and reliability W These variations can be very local (transistor self-heating) Or semi-global: block-level caused by high activity factor High temperatures raise Vt, lower Ion, raise Ioff Ion only varies ~10% between 25 and 100C Ioff can vary 5-10X This effect is deterministic in an ideal world (temp effects are in the models); in the real world, quasi-random Engineering judgement has to be used to evaluate circuits and blocks that are vulnerable to heating. Temperature effects are more important for reliability than for performance. Can have exponential impacts on Gate oxide lifetime, EM All fingers on L Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 14

Patterning: NOT a small challenge 65nm metal pattern Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 15

Lithography Process Costs Costs of Single tool increasing by ~3x every 5 years Scanner for 45nm node costs $40m Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 16

Lithography Costs Per Unit Area Wafer Size increases Scanner Throughput (wafers per hour) increases Net: cost per unit area declined down to 65nm node Increasing for 45 and 32nm nodes Supports relatively constant cost per chip Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 17

Lithography costs Per Transistor Rapidly decreasing cost per transistor fuels semiconductor device and product innovation Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 18

Technology Options at Technology Nodes (DRAM Half Pitch, nm) First Year of IC Production 2001 2003 2005 2007 2009 2011 2013 2015 2017 130 90 IPL, PEL, PXL 2002 2004 2006 2008 2010 2012 2014 2016 248 nm + PSM 193 nm Strong Motivation for DFM: EUV will NOT be available 193 nm + PSM 157 nm for the next 2 technology nodes 157 nm 65 EUV, EPL ML2 IPL, PEL, PXL EUV Narrow Options WaveLength=193nm DRAM Half Pitch (Dense Lines) 45 EPL ML2 Narrow Options IPL, PEL, PXL EUV 32 EPL ML2 Narrow Options IPL, PEL, PXL EUV, EPL ML2 Narrow 22 Innovative Technology Options IPL, PEL, PXL Historical Chart (2001 A.D.) Research Required Development Underway Qualification/Pre-Production This legend indicates the time during which research, development, and qualification/pre-production should be taking place for the solution. Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 19

A Brief (Evolutionary) History of DFM [I] Traditional Design Rules Set of geometrical constraints, necessary to guarantee yield, defined over polygonal shapes and edges in the layout The Design Rule Manual mediates among: DESIGN TECHNOLOGY FAB Two Types of DR: 1. Restrictive 2. Prescriptive 250nm, 180 nm, Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 20

A Brief (Evolutionary) History of DFM [II] Rule-Based Optical Proximity Correction Tables of corrections (edge movements, polygon addition and subtraction) to pre-compensate for fabrication effects and distortions, functions of (DISTANCE and SIZE) Although NOT coded in the Design Rule Manual RB-OPC is conceptually analogous to Design Rules and also implemented using same DRC engines 180 nm, 130 nm, Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 21

A Brief (Evolutionary) History of DFM [III] Model-Based Optical Proximity Correction (1) - Edge Fragmentation/Segmentation (2) - Iteratively: (3) Local Process Simulation (4) - Edge Movement (Correction) (5) Evaluate Edge Placement Error(s) Both Model Based And Rule-Based (geometrical) Checks 130 nm, 90 nm, 65 nm ENABLER: Layout Printability Verification Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 22

DFM= [DR s] + RET + (RB-OPC) + (MB-OPC) Line-End Pull-Back RET: allows for resolution, but induces proximity distortions Non-Matching Transistor Gates Necking Line-End Pull-Back Loss of Pattern Fidelity What else is needed at 32, 22 nm and below? OPC: enables RET, by restoring pattern fidelity and process window CD Control for Transistor Gates Pattern Fidelity Process/Variability-Aware Design Flows Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 23

Elements of DFM The Design Rules Manual at the core of DFM: Managing DR complexity Process Modeling: Geometry to Patterns to Devices to Circuits to Functions Process Variability Awareness in the Design Flow The new DFM flows: Layout Printability (Hot-Spots) Verification and Optimization Process-aware routing and synthesis Regular Fabrics/Circuits Design-Driven Metrology Top 5+1 DFM Challenges for 22nm and beyond Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 24

DFM Information Flows Architecture/Logic Design Circuit Design Physical Layout (GDSII/OASIS) Design Rule Verification DFM (LVS,DRC) Layout Tape-Out and Fracturing Mask Fabrication Silicon Patterning (SEM Metrology) Activation/Passivation/Intercon.,etc. Electrical Parametric Testing FAB E D A M D A Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 25

Inserting DFM into the design flow Process Variability Aware System Architectures IC Fab Mask Secondary DFM Insertion Primary DFM Insertion Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 26

Definition: DFM Design For Manufacturability (DFM): Integrated and Automated Set of Design, Simulation and Verification Methodologies 5 Objectives: i. Augment and guarantee the manufacturability of Circuit and Physical Layout Designs (Process Latitude and Yield) ii. iii. iv. Analyze the impact of CD variations and pattern fidelity on the electrical and functional performance Support/validate the selection of a Design Rules (DR) set Reduce device sensitivity to process variations v. Optimize Cost (across Design to Fabrication Flow) DFM developed as a Super-Set of DRC, RET and OPC techniques, extended to the Design and Physical Verification space Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 27

LOG (PAGES) @ Fixed Font-Size Design Rules Complexity Pages in a Design Rule Manual 10000 1000 2000 pages? 22 nm 32 nm 100 45 nm 10 250 pages 1 1985 1990 1995 2000 2005 2010 2015 YEAR Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 28

Layout dependent Yield Yield 100% Traditional Design Rule 0% 0 allowed minimum space Same Design Rule for different layout features: due to complex OPC, Optical/Process effects, etc. Feature-Feature Space Courtesy of Kevin Lucas (Freescale, Synopsys) Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 29

Yield vs. Design Rules at 45, 32 and 22 nm DRC Clean Manufacturable Process Window Design-Rules Compliance does NOT guarantee Yield due to: Non-Linearity Effects Induced by Sub-Wavelength Fabrication. Furthermore OPC cannot fix all Yield Limiters configurations DRC Clean Process Window - Yield Limiters Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 30

DFM Fundamental Building Block Si-Calibrated Process Simulator To DESIGN Design Layout (GDSII/OASIS) Integrated into a verification (DRC) software environment, with a suitable programming (or scripting) language Full-Chip CD Error Map Statistical Count To FAB Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 31

Modeling: Levels of Abstractions 100-500 Microns 1-20 Nanometers 5-15 Microns 10-100 Angstroms 35,000 Microns (35 mm) Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 32

Classes of Design Rules Corner Rounding Line-End Pull-Back Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 33

DFM Optimization of Design Rules [I] P1 P2 P3 P4 P5 P6 P7 Definition of Parametric Design Rule Min Max Step n P1 0.08 0.16 0.04 3 P2 0.18 0.45 0.09 4 P3 10 10 0.01 1 P4 0.04 0.14 0.01 11 P5 0.08 0.12 0.01 5 P6 0.16 0.6 0.02 23 P7 1 1 0.1 1 Total 15180 Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 34

DFM Optimization of Design Rules [II] Acceptable design space Simulated Parametric Space of DR+RET+OPC Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 35

Layout Printability Verification Process Variability Bands across Focus, Exposure, Mask Variations Process Variability Check/Flag Potential Yield Limiter Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 36

Printability Verification Flow SPIE 2009 Paper 7275-49 Jason Cain Scalability Metrics INPUT Design Layout (drawn) Silicon Target Shapes OPC Mask Shapes Process Window Simulation Measure & Characterize Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 37

Lithography-Driven Layout Verification and Optimization Single-Layer Multi-Layer Layout Verification and Optimization Based on Flexible Design Rules J. Yang, L. Capodieci [SPIE Microlithography, 2006: 6156-09] Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 38

DFM Design Analysis Application IC Design A Advanced Patterning Model Imaging Resist Etch. IC Design B CD Error IC Design B IC Design C CD Error IC Design C Full Chip Manufacturability Verification and Analysis IC Design A CD Error Design refers to Physical Layout and also Circuit Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 39

Design Layout Regularization ( manual ) > A nm > B nm >C nm Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 40

Courtesy: Lars Liebmann (IBM) Layout Re-Design Trend (65nm to 45 nm) conventional inverter 'litho'-redesign proper-redesign Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 41

Manual Layout Re-Design using a Layout Printability Verification Flow 32nm Metal-1 Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 42

Restricted Design Rules: since 65 nm Single Poly Pitch 90nm 65nm Restricted Design Rules (single pitch, single orientation) might lead to design bloat Process improved: Across Chip Line-width Variation (ACLV) in spec. How do we calculate the cost/benefit? Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 43

1 3 5 7 9 11 13 15 17 19 21 23 25 27 Relative Gate Count Gate Pitch Classification in Microprocessor Logic Typical Gate Occurance vs. Pitch 6000 5000 4000 3000 Contacted Count Iso 2000 1000 Min 0 Pitch category Data Source: Kevin Lucas (Motorola/Freescale) Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 44

Regular Layouts and Piecewise Patterning Regular Layouts are needed for (enable) piecewise patterning (e.g. dual-mask) Manufacturability Constraint: Layer to Layer Alignment Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 45

Dual Mask Partitioning: Dipole Illumination Algorithm: Horizontal Partition Non Critical Partition Original Layout Aerial Image (Dual-Exposure) Vertical Partition H-V Partitioning steps are followed by full-chip MOPC on BOTH masks Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 46

Regularity in Integrated Devices Circuit Regularity Layout Regularity Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 47

Area (sq. microns) Regular Circuit Fabrics: Generic, Fixed-Size Configurable Bricks Firewire Area 25000 23000 21000 19000 17000 15000 13000 11000 Derived Bricks Generic Bricks ASIC 0 5 10 15 20 Number of Bricks L. Pileggi, H. Schmit, A. J. Strojwas, et al., "Exploring Regular Fabrics To Optimize The Performance-cost Trade-Off Proceedings of the ACM/IEEE DAC, June 2003 V. Kheterpal, V. Rovner, T.G. Hersan, D. Motiani, Y. Takegawa, A.J. Strojwas, L. Pileggi Design Methodology for IC Manufacturability Based on Regular Logic-Bricks Proceedings of the ACM/IEEE DAC, June 2005 Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 48

Advanced DFM Application: Modeling of Non-Rectangular Transistors NEW DFM FLOW: Integrated Process Simulations with Transistor Models Calibrated Process Simulation BSIM models are generated for each rectangular slice. Gate From poly line to transistor: building BSIM models for non-rectangular transistors W. Poppe, L. Capodieci [SPIE Microlithography 2006: 6156-26] Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 49

Si-based Timing Analysis (using Post-OPC Layout Patterns) From J. Yang, L. Capodieci, D. Sylvester SPIE-2005 Conf:5756 (Thu. 3/3/2005) Selected Speed Path Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 50

Si-based Timing Characterization Results (1) (2) From J. Yang, L. Capodieci, D. Sylvester SPIE-2005 Conf:5756 (Thu. 3/3/2005) Using Si-based layout reveals: (1) A re-ordering in Speed-Path criticality (2) The existence of new Speed-Paths Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 51

Si-based Cell Optimization for Timing From J. Yang, L. Capodieci, D. Sylvester SPIE-2005 Conf:5756 (Thu. 3/3/2005) Si-based timing analysis allows for Improved Cell Optimization (selected and ranked by slack) Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 52

Design Driven Metrology Design Driven Metrology (DDM) is the FUNDAMENTAL ENABLER of Design For Manufacturing (DFM) DDM establishes an operational and analytical LINK between metrology locations/results and product design components Experimental Validation of LPV Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 53

Design Driven Metrology Flow Design Mask SEM name row col x y loc Drawmark other meas tp_sram_m2bl_1_h 1 64 2109645 8705186 0 NA NA 90 tp_sram_m2bl_2_h 1 65 2109735 8705461 0 NA NA 90 tp_sram_m2bl_3_h 1 66 2109915 8705460 0 NA NA 90 Metrology Sites Wafer Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 54

2D Image-Based Design Rule Checks Traditional DRC (Hard-Coded) 135 nm 405 nm 202.5 nm 405 nm 202.5 nm 135 nm 202.5 nm 202.5 nm poss_cross_spacer = EXT VIA1 == cross REGION OPPOSITE //should be a "cross" shape poss_square_spacer = EXT VIA1 == v_square REGION OPPOSITE //135 by 135nm //Verify the correctness of the "cross" spacer //Width == via_size test1 = vertex poss_cross_spacer ==12 test2 = AREA test1 ==.091125 test3 = length test2!= VIA_SIZE //edges not equal via width test4 = EXPAND EDGE test3 outside by.01 cross = test2 NOT INTERACT test4 2D-DRC Image-Based Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 55

Layout Analysis by Pattern Matching [Data courtesy of CommandCAD] 2D (ultra-fast) Image-Based Pattern-Matching capability has been demonstrated, for full-chip layouts (X,Y) Locations of Polygonal Clips (images) can be identified Image-based pattern-matching allows for fuzzy-matching Approach can be extended to SEM images Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 56

2D-DR Checking (by Pattern Matching) Exact Match Fuzzy Match Full-Chip Pattern-Match (X,Y) Locations Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 57

Layout Analysis by Patterns Matching [II] Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 58

SEM Images Pattern Matching on Layouts Match Results: Exact I=226; M=89% I=84; M=94% I=226; M=89% Binary Bitmap from SEM Image Full-Chip Pattern-Match (X,Y) Locations and Fuzzy I=52; M=83% Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 59

DRC+: 2D DRC Verification M01 Necking DRC+ Layout Printability Verification 2 CAs printed small Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 60

DRC+ integration in Verification Flow From SIM to DR Integrated in CALIBRE RVE Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 61

R&D: Layout Pattern Clustering Clustering Analysis Printability Analysis Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 62

Examples of Ranked Clusters SPIE 2009 Paper 7275-52 Vito Dai occurences: 2 mean: -9.25 occurences: 25 mean: -6.0 std. dev: 0.2 occurences: 8 mean: -4.1 std. dev: 0.5 occurences: 10 mean: -2.7 std. dev: 1.3 overall mean: -2.7 std.dev: 1.2 occurences: 1092 mean: -1.5 std. dev: 0.1 Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 63

Additional DFM Tasks: YRC, Density/CMP YRC (Yield Rule Checks) Identify layout geometries where recommended rules could be applied to improve manufacturability and yield. Density Analysis and CMP modeling Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 64

The current state of DFM DFM tools and methodologies are coming of age (at 45 nm) as evolutionary extensions of RET/OPC flows Lithography-Driven DFM, i.e. PW Layout Printability Verification, is a well established practice at 65 and 45 nm Checks/Defects CLASSIFICATION is still lacking (automation) Although many DFM Tools are available, the DFM Use-Model is still not well defined and/or well understood KEY INSERTION point for DFM is the Design Rule Manual Continuing DFM evolution drives towards more and more Regular Layouts (concept adopted also at Circuit Design Level) 2D DRC Flows have been demonstrated to identify potential Yield Detractors early in the Design-to-Fabrication Cycle Entering the age of Computational Technology Scaling Enhanced Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 65

Top 5+1 challenges for DFM 1. Flow interoperability and standard interfaces (models, data, XML) - requires Tool Equipment Vendors, EDA Software Vendors, FAB and DESIGN entities to collaborate [difficult] 2. Predictive modeling (ETCH, CMP, etc.) to be developed using a paradigm similar to the successful RET/OPC one (i.e. fix-it) 3. Integrated Data Mining for Process Variability Data (both simulation and experimental) directly interfaced with Design Flows 4. Process Aware Synthesis and Place & Route (not a simple problem but a fundamental enabler for 22 nm) 5. DFM Silicon Verification and predictive Yield Models (essential to quantify ROI in DFM) Require also substantial advances in Metrology Automation (CAD) Process-Variability-Aware System Architectures (novel) @ design time and @ run time Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 66

Acknowledgments Rich Klein, Norma Rodriguez, Marilyn Wright, Rolf Seltmann, Cyrus Tabery, Sarah McGowan, Carl Babcock, Chris Spence, Yi Zou, Jie Yang, Vito Dai, Ethan Cohen, Uwe Hahn, Mark Craig, JR Zhou, Ed Roseboom, Stefan Roling, FAB1/A/B, Norman Chen, Chidam Kallingal, Jason Cain, and many, many others at AMD and GLOBALFOUNDRIES Luigi Capodieci, Ph.D. - R&D Fellow - GLOBALFOUNDRIES Managed Variability and DFM 67